############################################################### # Generated by: Cadence Innovus 21.11-s130_1 # OS: Linux x86_64(Host ID pdn.ucsd.edu) # Generated on: Mon Aug 29 06:49:34 2022 # Design: ariane # Command: defOut -floorplan ./def/ariane_fp_placed_macros.def ############################################################### VERSION 5.8 ; DIVIDERCHAR "/" ; BUSBITCHARS "[]" ; DESIGN ariane ; UNITS DISTANCE MICRONS 2000 ; PROPERTYDEFINITIONS DESIGN flow_implementation_stage STRING "place_opt" ; DESIGN RC_DEF STRING "/designs/ariane" ; COMPONENTPIN designRuleWidth REAL ; DESIGN FE_CORE_BOX_LL_X REAL 5.1300 ; DESIGN FE_CORE_BOX_UR_X REAL 1352.2300 ; DESIGN FE_CORE_BOX_LL_Y REAL 5.0400 ; DESIGN FE_CORE_BOX_UR_Y REAL 1351.8400 ; END PROPERTYDEFINITIONS DIEAREA ( 0 0 ) ( 2714720 2713760 ) ; ROW CORE_ROW_0 FreePDK45_38x28_10R_NP_162NW_34O 10260 10080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_1 FreePDK45_38x28_10R_NP_162NW_34O 10260 12880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_2 FreePDK45_38x28_10R_NP_162NW_34O 10260 15680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_3 FreePDK45_38x28_10R_NP_162NW_34O 10260 18480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_4 FreePDK45_38x28_10R_NP_162NW_34O 10260 21280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_5 FreePDK45_38x28_10R_NP_162NW_34O 10260 24080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_6 FreePDK45_38x28_10R_NP_162NW_34O 10260 26880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_7 FreePDK45_38x28_10R_NP_162NW_34O 10260 29680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_8 FreePDK45_38x28_10R_NP_162NW_34O 10260 32480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_9 FreePDK45_38x28_10R_NP_162NW_34O 10260 35280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_10 FreePDK45_38x28_10R_NP_162NW_34O 10260 38080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_11 FreePDK45_38x28_10R_NP_162NW_34O 10260 40880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_12 FreePDK45_38x28_10R_NP_162NW_34O 10260 43680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_13 FreePDK45_38x28_10R_NP_162NW_34O 10260 46480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_14 FreePDK45_38x28_10R_NP_162NW_34O 10260 49280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_15 FreePDK45_38x28_10R_NP_162NW_34O 10260 52080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_16 FreePDK45_38x28_10R_NP_162NW_34O 10260 54880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_17 FreePDK45_38x28_10R_NP_162NW_34O 10260 57680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_18 FreePDK45_38x28_10R_NP_162NW_34O 10260 60480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_19 FreePDK45_38x28_10R_NP_162NW_34O 10260 63280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_20 FreePDK45_38x28_10R_NP_162NW_34O 10260 66080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_21 FreePDK45_38x28_10R_NP_162NW_34O 10260 68880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_22 FreePDK45_38x28_10R_NP_162NW_34O 10260 71680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_23 FreePDK45_38x28_10R_NP_162NW_34O 10260 74480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_24 FreePDK45_38x28_10R_NP_162NW_34O 10260 77280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_25 FreePDK45_38x28_10R_NP_162NW_34O 10260 80080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_26 FreePDK45_38x28_10R_NP_162NW_34O 10260 82880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_27 FreePDK45_38x28_10R_NP_162NW_34O 10260 85680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_28 FreePDK45_38x28_10R_NP_162NW_34O 10260 88480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_29 FreePDK45_38x28_10R_NP_162NW_34O 10260 91280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_30 FreePDK45_38x28_10R_NP_162NW_34O 10260 94080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_31 FreePDK45_38x28_10R_NP_162NW_34O 10260 96880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_32 FreePDK45_38x28_10R_NP_162NW_34O 10260 99680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_33 FreePDK45_38x28_10R_NP_162NW_34O 10260 102480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_34 FreePDK45_38x28_10R_NP_162NW_34O 10260 105280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_35 FreePDK45_38x28_10R_NP_162NW_34O 10260 108080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_36 FreePDK45_38x28_10R_NP_162NW_34O 10260 110880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_37 FreePDK45_38x28_10R_NP_162NW_34O 10260 113680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_38 FreePDK45_38x28_10R_NP_162NW_34O 10260 116480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_39 FreePDK45_38x28_10R_NP_162NW_34O 10260 119280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_40 FreePDK45_38x28_10R_NP_162NW_34O 10260 122080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_41 FreePDK45_38x28_10R_NP_162NW_34O 10260 124880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_42 FreePDK45_38x28_10R_NP_162NW_34O 10260 127680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_43 FreePDK45_38x28_10R_NP_162NW_34O 10260 130480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_44 FreePDK45_38x28_10R_NP_162NW_34O 10260 133280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_45 FreePDK45_38x28_10R_NP_162NW_34O 10260 136080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_46 FreePDK45_38x28_10R_NP_162NW_34O 10260 138880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_47 FreePDK45_38x28_10R_NP_162NW_34O 10260 141680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_48 FreePDK45_38x28_10R_NP_162NW_34O 10260 144480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_49 FreePDK45_38x28_10R_NP_162NW_34O 10260 147280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_50 FreePDK45_38x28_10R_NP_162NW_34O 10260 150080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_51 FreePDK45_38x28_10R_NP_162NW_34O 10260 152880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_52 FreePDK45_38x28_10R_NP_162NW_34O 10260 155680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_53 FreePDK45_38x28_10R_NP_162NW_34O 10260 158480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_54 FreePDK45_38x28_10R_NP_162NW_34O 10260 161280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_55 FreePDK45_38x28_10R_NP_162NW_34O 10260 164080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_56 FreePDK45_38x28_10R_NP_162NW_34O 10260 166880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_57 FreePDK45_38x28_10R_NP_162NW_34O 10260 169680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_58 FreePDK45_38x28_10R_NP_162NW_34O 10260 172480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_59 FreePDK45_38x28_10R_NP_162NW_34O 10260 175280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_60 FreePDK45_38x28_10R_NP_162NW_34O 10260 178080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_61 FreePDK45_38x28_10R_NP_162NW_34O 10260 180880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_62 FreePDK45_38x28_10R_NP_162NW_34O 10260 183680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_63 FreePDK45_38x28_10R_NP_162NW_34O 10260 186480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_64 FreePDK45_38x28_10R_NP_162NW_34O 10260 189280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_65 FreePDK45_38x28_10R_NP_162NW_34O 10260 192080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_66 FreePDK45_38x28_10R_NP_162NW_34O 10260 194880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_67 FreePDK45_38x28_10R_NP_162NW_34O 10260 197680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_68 FreePDK45_38x28_10R_NP_162NW_34O 10260 200480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_69 FreePDK45_38x28_10R_NP_162NW_34O 10260 203280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_70 FreePDK45_38x28_10R_NP_162NW_34O 10260 206080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_71 FreePDK45_38x28_10R_NP_162NW_34O 10260 208880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_72 FreePDK45_38x28_10R_NP_162NW_34O 10260 211680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_73 FreePDK45_38x28_10R_NP_162NW_34O 10260 214480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_74 FreePDK45_38x28_10R_NP_162NW_34O 10260 217280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_75 FreePDK45_38x28_10R_NP_162NW_34O 10260 220080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_76 FreePDK45_38x28_10R_NP_162NW_34O 10260 222880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_77 FreePDK45_38x28_10R_NP_162NW_34O 10260 225680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_78 FreePDK45_38x28_10R_NP_162NW_34O 10260 228480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_79 FreePDK45_38x28_10R_NP_162NW_34O 10260 231280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_80 FreePDK45_38x28_10R_NP_162NW_34O 10260 234080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_81 FreePDK45_38x28_10R_NP_162NW_34O 10260 236880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_82 FreePDK45_38x28_10R_NP_162NW_34O 10260 239680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_83 FreePDK45_38x28_10R_NP_162NW_34O 10260 242480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_84 FreePDK45_38x28_10R_NP_162NW_34O 10260 245280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_85 FreePDK45_38x28_10R_NP_162NW_34O 10260 248080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_86 FreePDK45_38x28_10R_NP_162NW_34O 10260 250880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_87 FreePDK45_38x28_10R_NP_162NW_34O 10260 253680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_88 FreePDK45_38x28_10R_NP_162NW_34O 10260 256480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_89 FreePDK45_38x28_10R_NP_162NW_34O 10260 259280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_90 FreePDK45_38x28_10R_NP_162NW_34O 10260 262080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_91 FreePDK45_38x28_10R_NP_162NW_34O 10260 264880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_92 FreePDK45_38x28_10R_NP_162NW_34O 10260 267680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_93 FreePDK45_38x28_10R_NP_162NW_34O 10260 270480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_94 FreePDK45_38x28_10R_NP_162NW_34O 10260 273280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_95 FreePDK45_38x28_10R_NP_162NW_34O 10260 276080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_96 FreePDK45_38x28_10R_NP_162NW_34O 10260 278880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_97 FreePDK45_38x28_10R_NP_162NW_34O 10260 281680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_98 FreePDK45_38x28_10R_NP_162NW_34O 10260 284480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_99 FreePDK45_38x28_10R_NP_162NW_34O 10260 287280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_100 FreePDK45_38x28_10R_NP_162NW_34O 10260 290080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_101 FreePDK45_38x28_10R_NP_162NW_34O 10260 292880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_102 FreePDK45_38x28_10R_NP_162NW_34O 10260 295680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_103 FreePDK45_38x28_10R_NP_162NW_34O 10260 298480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_104 FreePDK45_38x28_10R_NP_162NW_34O 10260 301280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_105 FreePDK45_38x28_10R_NP_162NW_34O 10260 304080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_106 FreePDK45_38x28_10R_NP_162NW_34O 10260 306880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_107 FreePDK45_38x28_10R_NP_162NW_34O 10260 309680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_108 FreePDK45_38x28_10R_NP_162NW_34O 10260 312480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_109 FreePDK45_38x28_10R_NP_162NW_34O 10260 315280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_110 FreePDK45_38x28_10R_NP_162NW_34O 10260 318080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_111 FreePDK45_38x28_10R_NP_162NW_34O 10260 320880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_112 FreePDK45_38x28_10R_NP_162NW_34O 10260 323680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_113 FreePDK45_38x28_10R_NP_162NW_34O 10260 326480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_114 FreePDK45_38x28_10R_NP_162NW_34O 10260 329280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_115 FreePDK45_38x28_10R_NP_162NW_34O 10260 332080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_116 FreePDK45_38x28_10R_NP_162NW_34O 10260 334880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_117 FreePDK45_38x28_10R_NP_162NW_34O 10260 337680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_118 FreePDK45_38x28_10R_NP_162NW_34O 10260 340480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_119 FreePDK45_38x28_10R_NP_162NW_34O 10260 343280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_120 FreePDK45_38x28_10R_NP_162NW_34O 10260 346080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_121 FreePDK45_38x28_10R_NP_162NW_34O 10260 348880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_122 FreePDK45_38x28_10R_NP_162NW_34O 10260 351680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_123 FreePDK45_38x28_10R_NP_162NW_34O 10260 354480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_124 FreePDK45_38x28_10R_NP_162NW_34O 10260 357280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_125 FreePDK45_38x28_10R_NP_162NW_34O 10260 360080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_126 FreePDK45_38x28_10R_NP_162NW_34O 10260 362880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_127 FreePDK45_38x28_10R_NP_162NW_34O 10260 365680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_128 FreePDK45_38x28_10R_NP_162NW_34O 10260 368480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_129 FreePDK45_38x28_10R_NP_162NW_34O 10260 371280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_130 FreePDK45_38x28_10R_NP_162NW_34O 10260 374080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_131 FreePDK45_38x28_10R_NP_162NW_34O 10260 376880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_132 FreePDK45_38x28_10R_NP_162NW_34O 10260 379680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_133 FreePDK45_38x28_10R_NP_162NW_34O 10260 382480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_134 FreePDK45_38x28_10R_NP_162NW_34O 10260 385280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_135 FreePDK45_38x28_10R_NP_162NW_34O 10260 388080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_136 FreePDK45_38x28_10R_NP_162NW_34O 10260 390880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_137 FreePDK45_38x28_10R_NP_162NW_34O 10260 393680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_138 FreePDK45_38x28_10R_NP_162NW_34O 10260 396480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_139 FreePDK45_38x28_10R_NP_162NW_34O 10260 399280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_140 FreePDK45_38x28_10R_NP_162NW_34O 10260 402080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_141 FreePDK45_38x28_10R_NP_162NW_34O 10260 404880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_142 FreePDK45_38x28_10R_NP_162NW_34O 10260 407680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_143 FreePDK45_38x28_10R_NP_162NW_34O 10260 410480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_144 FreePDK45_38x28_10R_NP_162NW_34O 10260 413280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_145 FreePDK45_38x28_10R_NP_162NW_34O 10260 416080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_146 FreePDK45_38x28_10R_NP_162NW_34O 10260 418880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_147 FreePDK45_38x28_10R_NP_162NW_34O 10260 421680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_148 FreePDK45_38x28_10R_NP_162NW_34O 10260 424480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_149 FreePDK45_38x28_10R_NP_162NW_34O 10260 427280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_150 FreePDK45_38x28_10R_NP_162NW_34O 10260 430080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_151 FreePDK45_38x28_10R_NP_162NW_34O 10260 432880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_152 FreePDK45_38x28_10R_NP_162NW_34O 10260 435680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_153 FreePDK45_38x28_10R_NP_162NW_34O 10260 438480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_154 FreePDK45_38x28_10R_NP_162NW_34O 10260 441280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_155 FreePDK45_38x28_10R_NP_162NW_34O 10260 444080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_156 FreePDK45_38x28_10R_NP_162NW_34O 10260 446880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_157 FreePDK45_38x28_10R_NP_162NW_34O 10260 449680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_158 FreePDK45_38x28_10R_NP_162NW_34O 10260 452480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_159 FreePDK45_38x28_10R_NP_162NW_34O 10260 455280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_160 FreePDK45_38x28_10R_NP_162NW_34O 10260 458080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_161 FreePDK45_38x28_10R_NP_162NW_34O 10260 460880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_162 FreePDK45_38x28_10R_NP_162NW_34O 10260 463680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_163 FreePDK45_38x28_10R_NP_162NW_34O 10260 466480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_164 FreePDK45_38x28_10R_NP_162NW_34O 10260 469280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_165 FreePDK45_38x28_10R_NP_162NW_34O 10260 472080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_166 FreePDK45_38x28_10R_NP_162NW_34O 10260 474880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_167 FreePDK45_38x28_10R_NP_162NW_34O 10260 477680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_168 FreePDK45_38x28_10R_NP_162NW_34O 10260 480480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_169 FreePDK45_38x28_10R_NP_162NW_34O 10260 483280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_170 FreePDK45_38x28_10R_NP_162NW_34O 10260 486080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_171 FreePDK45_38x28_10R_NP_162NW_34O 10260 488880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_172 FreePDK45_38x28_10R_NP_162NW_34O 10260 491680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_173 FreePDK45_38x28_10R_NP_162NW_34O 10260 494480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_174 FreePDK45_38x28_10R_NP_162NW_34O 10260 497280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_175 FreePDK45_38x28_10R_NP_162NW_34O 10260 500080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_176 FreePDK45_38x28_10R_NP_162NW_34O 10260 502880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_177 FreePDK45_38x28_10R_NP_162NW_34O 10260 505680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_178 FreePDK45_38x28_10R_NP_162NW_34O 10260 508480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_179 FreePDK45_38x28_10R_NP_162NW_34O 10260 511280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_180 FreePDK45_38x28_10R_NP_162NW_34O 10260 514080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_181 FreePDK45_38x28_10R_NP_162NW_34O 10260 516880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_182 FreePDK45_38x28_10R_NP_162NW_34O 10260 519680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_183 FreePDK45_38x28_10R_NP_162NW_34O 10260 522480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_184 FreePDK45_38x28_10R_NP_162NW_34O 10260 525280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_185 FreePDK45_38x28_10R_NP_162NW_34O 10260 528080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_186 FreePDK45_38x28_10R_NP_162NW_34O 10260 530880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_187 FreePDK45_38x28_10R_NP_162NW_34O 10260 533680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_188 FreePDK45_38x28_10R_NP_162NW_34O 10260 536480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_189 FreePDK45_38x28_10R_NP_162NW_34O 10260 539280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_190 FreePDK45_38x28_10R_NP_162NW_34O 10260 542080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_191 FreePDK45_38x28_10R_NP_162NW_34O 10260 544880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_192 FreePDK45_38x28_10R_NP_162NW_34O 10260 547680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_193 FreePDK45_38x28_10R_NP_162NW_34O 10260 550480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_194 FreePDK45_38x28_10R_NP_162NW_34O 10260 553280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_195 FreePDK45_38x28_10R_NP_162NW_34O 10260 556080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_196 FreePDK45_38x28_10R_NP_162NW_34O 10260 558880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_197 FreePDK45_38x28_10R_NP_162NW_34O 10260 561680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_198 FreePDK45_38x28_10R_NP_162NW_34O 10260 564480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_199 FreePDK45_38x28_10R_NP_162NW_34O 10260 567280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_200 FreePDK45_38x28_10R_NP_162NW_34O 10260 570080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_201 FreePDK45_38x28_10R_NP_162NW_34O 10260 572880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_202 FreePDK45_38x28_10R_NP_162NW_34O 10260 575680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_203 FreePDK45_38x28_10R_NP_162NW_34O 10260 578480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_204 FreePDK45_38x28_10R_NP_162NW_34O 10260 581280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_205 FreePDK45_38x28_10R_NP_162NW_34O 10260 584080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_206 FreePDK45_38x28_10R_NP_162NW_34O 10260 586880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_207 FreePDK45_38x28_10R_NP_162NW_34O 10260 589680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_208 FreePDK45_38x28_10R_NP_162NW_34O 10260 592480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_209 FreePDK45_38x28_10R_NP_162NW_34O 10260 595280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_210 FreePDK45_38x28_10R_NP_162NW_34O 10260 598080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_211 FreePDK45_38x28_10R_NP_162NW_34O 10260 600880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_212 FreePDK45_38x28_10R_NP_162NW_34O 10260 603680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_213 FreePDK45_38x28_10R_NP_162NW_34O 10260 606480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_214 FreePDK45_38x28_10R_NP_162NW_34O 10260 609280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_215 FreePDK45_38x28_10R_NP_162NW_34O 10260 612080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_216 FreePDK45_38x28_10R_NP_162NW_34O 10260 614880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_217 FreePDK45_38x28_10R_NP_162NW_34O 10260 617680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_218 FreePDK45_38x28_10R_NP_162NW_34O 10260 620480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_219 FreePDK45_38x28_10R_NP_162NW_34O 10260 623280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_220 FreePDK45_38x28_10R_NP_162NW_34O 10260 626080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_221 FreePDK45_38x28_10R_NP_162NW_34O 10260 628880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_222 FreePDK45_38x28_10R_NP_162NW_34O 10260 631680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_223 FreePDK45_38x28_10R_NP_162NW_34O 10260 634480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_224 FreePDK45_38x28_10R_NP_162NW_34O 10260 637280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_225 FreePDK45_38x28_10R_NP_162NW_34O 10260 640080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_226 FreePDK45_38x28_10R_NP_162NW_34O 10260 642880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_227 FreePDK45_38x28_10R_NP_162NW_34O 10260 645680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_228 FreePDK45_38x28_10R_NP_162NW_34O 10260 648480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_229 FreePDK45_38x28_10R_NP_162NW_34O 10260 651280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_230 FreePDK45_38x28_10R_NP_162NW_34O 10260 654080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_231 FreePDK45_38x28_10R_NP_162NW_34O 10260 656880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_232 FreePDK45_38x28_10R_NP_162NW_34O 10260 659680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_233 FreePDK45_38x28_10R_NP_162NW_34O 10260 662480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_234 FreePDK45_38x28_10R_NP_162NW_34O 10260 665280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_235 FreePDK45_38x28_10R_NP_162NW_34O 10260 668080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_236 FreePDK45_38x28_10R_NP_162NW_34O 10260 670880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_237 FreePDK45_38x28_10R_NP_162NW_34O 10260 673680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_238 FreePDK45_38x28_10R_NP_162NW_34O 10260 676480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_239 FreePDK45_38x28_10R_NP_162NW_34O 10260 679280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_240 FreePDK45_38x28_10R_NP_162NW_34O 10260 682080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_241 FreePDK45_38x28_10R_NP_162NW_34O 10260 684880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_242 FreePDK45_38x28_10R_NP_162NW_34O 10260 687680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_243 FreePDK45_38x28_10R_NP_162NW_34O 10260 690480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_244 FreePDK45_38x28_10R_NP_162NW_34O 10260 693280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_245 FreePDK45_38x28_10R_NP_162NW_34O 10260 696080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_246 FreePDK45_38x28_10R_NP_162NW_34O 10260 698880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_247 FreePDK45_38x28_10R_NP_162NW_34O 10260 701680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_248 FreePDK45_38x28_10R_NP_162NW_34O 10260 704480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_249 FreePDK45_38x28_10R_NP_162NW_34O 10260 707280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_250 FreePDK45_38x28_10R_NP_162NW_34O 10260 710080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_251 FreePDK45_38x28_10R_NP_162NW_34O 10260 712880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_252 FreePDK45_38x28_10R_NP_162NW_34O 10260 715680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_253 FreePDK45_38x28_10R_NP_162NW_34O 10260 718480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_254 FreePDK45_38x28_10R_NP_162NW_34O 10260 721280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_255 FreePDK45_38x28_10R_NP_162NW_34O 10260 724080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_256 FreePDK45_38x28_10R_NP_162NW_34O 10260 726880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_257 FreePDK45_38x28_10R_NP_162NW_34O 10260 729680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_258 FreePDK45_38x28_10R_NP_162NW_34O 10260 732480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_259 FreePDK45_38x28_10R_NP_162NW_34O 10260 735280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_260 FreePDK45_38x28_10R_NP_162NW_34O 10260 738080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_261 FreePDK45_38x28_10R_NP_162NW_34O 10260 740880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_262 FreePDK45_38x28_10R_NP_162NW_34O 10260 743680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_263 FreePDK45_38x28_10R_NP_162NW_34O 10260 746480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_264 FreePDK45_38x28_10R_NP_162NW_34O 10260 749280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_265 FreePDK45_38x28_10R_NP_162NW_34O 10260 752080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_266 FreePDK45_38x28_10R_NP_162NW_34O 10260 754880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_267 FreePDK45_38x28_10R_NP_162NW_34O 10260 757680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_268 FreePDK45_38x28_10R_NP_162NW_34O 10260 760480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_269 FreePDK45_38x28_10R_NP_162NW_34O 10260 763280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_270 FreePDK45_38x28_10R_NP_162NW_34O 10260 766080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_271 FreePDK45_38x28_10R_NP_162NW_34O 10260 768880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_272 FreePDK45_38x28_10R_NP_162NW_34O 10260 771680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_273 FreePDK45_38x28_10R_NP_162NW_34O 10260 774480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_274 FreePDK45_38x28_10R_NP_162NW_34O 10260 777280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_275 FreePDK45_38x28_10R_NP_162NW_34O 10260 780080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_276 FreePDK45_38x28_10R_NP_162NW_34O 10260 782880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_277 FreePDK45_38x28_10R_NP_162NW_34O 10260 785680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_278 FreePDK45_38x28_10R_NP_162NW_34O 10260 788480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_279 FreePDK45_38x28_10R_NP_162NW_34O 10260 791280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_280 FreePDK45_38x28_10R_NP_162NW_34O 10260 794080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_281 FreePDK45_38x28_10R_NP_162NW_34O 10260 796880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_282 FreePDK45_38x28_10R_NP_162NW_34O 10260 799680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_283 FreePDK45_38x28_10R_NP_162NW_34O 10260 802480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_284 FreePDK45_38x28_10R_NP_162NW_34O 10260 805280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_285 FreePDK45_38x28_10R_NP_162NW_34O 10260 808080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_286 FreePDK45_38x28_10R_NP_162NW_34O 10260 810880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_287 FreePDK45_38x28_10R_NP_162NW_34O 10260 813680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_288 FreePDK45_38x28_10R_NP_162NW_34O 10260 816480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_289 FreePDK45_38x28_10R_NP_162NW_34O 10260 819280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_290 FreePDK45_38x28_10R_NP_162NW_34O 10260 822080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_291 FreePDK45_38x28_10R_NP_162NW_34O 10260 824880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_292 FreePDK45_38x28_10R_NP_162NW_34O 10260 827680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_293 FreePDK45_38x28_10R_NP_162NW_34O 10260 830480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_294 FreePDK45_38x28_10R_NP_162NW_34O 10260 833280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_295 FreePDK45_38x28_10R_NP_162NW_34O 10260 836080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_296 FreePDK45_38x28_10R_NP_162NW_34O 10260 838880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_297 FreePDK45_38x28_10R_NP_162NW_34O 10260 841680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_298 FreePDK45_38x28_10R_NP_162NW_34O 10260 844480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_299 FreePDK45_38x28_10R_NP_162NW_34O 10260 847280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_300 FreePDK45_38x28_10R_NP_162NW_34O 10260 850080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_301 FreePDK45_38x28_10R_NP_162NW_34O 10260 852880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_302 FreePDK45_38x28_10R_NP_162NW_34O 10260 855680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_303 FreePDK45_38x28_10R_NP_162NW_34O 10260 858480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_304 FreePDK45_38x28_10R_NP_162NW_34O 10260 861280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_305 FreePDK45_38x28_10R_NP_162NW_34O 10260 864080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_306 FreePDK45_38x28_10R_NP_162NW_34O 10260 866880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_307 FreePDK45_38x28_10R_NP_162NW_34O 10260 869680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_308 FreePDK45_38x28_10R_NP_162NW_34O 10260 872480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_309 FreePDK45_38x28_10R_NP_162NW_34O 10260 875280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_310 FreePDK45_38x28_10R_NP_162NW_34O 10260 878080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_311 FreePDK45_38x28_10R_NP_162NW_34O 10260 880880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_312 FreePDK45_38x28_10R_NP_162NW_34O 10260 883680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_313 FreePDK45_38x28_10R_NP_162NW_34O 10260 886480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_314 FreePDK45_38x28_10R_NP_162NW_34O 10260 889280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_315 FreePDK45_38x28_10R_NP_162NW_34O 10260 892080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_316 FreePDK45_38x28_10R_NP_162NW_34O 10260 894880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_317 FreePDK45_38x28_10R_NP_162NW_34O 10260 897680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_318 FreePDK45_38x28_10R_NP_162NW_34O 10260 900480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_319 FreePDK45_38x28_10R_NP_162NW_34O 10260 903280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_320 FreePDK45_38x28_10R_NP_162NW_34O 10260 906080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_321 FreePDK45_38x28_10R_NP_162NW_34O 10260 908880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_322 FreePDK45_38x28_10R_NP_162NW_34O 10260 911680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_323 FreePDK45_38x28_10R_NP_162NW_34O 10260 914480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_324 FreePDK45_38x28_10R_NP_162NW_34O 10260 917280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_325 FreePDK45_38x28_10R_NP_162NW_34O 10260 920080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_326 FreePDK45_38x28_10R_NP_162NW_34O 10260 922880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_327 FreePDK45_38x28_10R_NP_162NW_34O 10260 925680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_328 FreePDK45_38x28_10R_NP_162NW_34O 10260 928480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_329 FreePDK45_38x28_10R_NP_162NW_34O 10260 931280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_330 FreePDK45_38x28_10R_NP_162NW_34O 10260 934080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_331 FreePDK45_38x28_10R_NP_162NW_34O 10260 936880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_332 FreePDK45_38x28_10R_NP_162NW_34O 10260 939680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_333 FreePDK45_38x28_10R_NP_162NW_34O 10260 942480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_334 FreePDK45_38x28_10R_NP_162NW_34O 10260 945280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_335 FreePDK45_38x28_10R_NP_162NW_34O 10260 948080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_336 FreePDK45_38x28_10R_NP_162NW_34O 10260 950880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_337 FreePDK45_38x28_10R_NP_162NW_34O 10260 953680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_338 FreePDK45_38x28_10R_NP_162NW_34O 10260 956480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_339 FreePDK45_38x28_10R_NP_162NW_34O 10260 959280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_340 FreePDK45_38x28_10R_NP_162NW_34O 10260 962080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_341 FreePDK45_38x28_10R_NP_162NW_34O 10260 964880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_342 FreePDK45_38x28_10R_NP_162NW_34O 10260 967680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_343 FreePDK45_38x28_10R_NP_162NW_34O 10260 970480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_344 FreePDK45_38x28_10R_NP_162NW_34O 10260 973280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_345 FreePDK45_38x28_10R_NP_162NW_34O 10260 976080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_346 FreePDK45_38x28_10R_NP_162NW_34O 10260 978880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_347 FreePDK45_38x28_10R_NP_162NW_34O 10260 981680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_348 FreePDK45_38x28_10R_NP_162NW_34O 10260 984480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_349 FreePDK45_38x28_10R_NP_162NW_34O 10260 987280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_350 FreePDK45_38x28_10R_NP_162NW_34O 10260 990080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_351 FreePDK45_38x28_10R_NP_162NW_34O 10260 992880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_352 FreePDK45_38x28_10R_NP_162NW_34O 10260 995680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_353 FreePDK45_38x28_10R_NP_162NW_34O 10260 998480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_354 FreePDK45_38x28_10R_NP_162NW_34O 10260 1001280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_355 FreePDK45_38x28_10R_NP_162NW_34O 10260 1004080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_356 FreePDK45_38x28_10R_NP_162NW_34O 10260 1006880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_357 FreePDK45_38x28_10R_NP_162NW_34O 10260 1009680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_358 FreePDK45_38x28_10R_NP_162NW_34O 10260 1012480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_359 FreePDK45_38x28_10R_NP_162NW_34O 10260 1015280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_360 FreePDK45_38x28_10R_NP_162NW_34O 10260 1018080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_361 FreePDK45_38x28_10R_NP_162NW_34O 10260 1020880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_362 FreePDK45_38x28_10R_NP_162NW_34O 10260 1023680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_363 FreePDK45_38x28_10R_NP_162NW_34O 10260 1026480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_364 FreePDK45_38x28_10R_NP_162NW_34O 10260 1029280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_365 FreePDK45_38x28_10R_NP_162NW_34O 10260 1032080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_366 FreePDK45_38x28_10R_NP_162NW_34O 10260 1034880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_367 FreePDK45_38x28_10R_NP_162NW_34O 10260 1037680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_368 FreePDK45_38x28_10R_NP_162NW_34O 10260 1040480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_369 FreePDK45_38x28_10R_NP_162NW_34O 10260 1043280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_370 FreePDK45_38x28_10R_NP_162NW_34O 10260 1046080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_371 FreePDK45_38x28_10R_NP_162NW_34O 10260 1048880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_372 FreePDK45_38x28_10R_NP_162NW_34O 10260 1051680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_373 FreePDK45_38x28_10R_NP_162NW_34O 10260 1054480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_374 FreePDK45_38x28_10R_NP_162NW_34O 10260 1057280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_375 FreePDK45_38x28_10R_NP_162NW_34O 10260 1060080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_376 FreePDK45_38x28_10R_NP_162NW_34O 10260 1062880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_377 FreePDK45_38x28_10R_NP_162NW_34O 10260 1065680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_378 FreePDK45_38x28_10R_NP_162NW_34O 10260 1068480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_379 FreePDK45_38x28_10R_NP_162NW_34O 10260 1071280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_380 FreePDK45_38x28_10R_NP_162NW_34O 10260 1074080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_381 FreePDK45_38x28_10R_NP_162NW_34O 10260 1076880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_382 FreePDK45_38x28_10R_NP_162NW_34O 10260 1079680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_383 FreePDK45_38x28_10R_NP_162NW_34O 10260 1082480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_384 FreePDK45_38x28_10R_NP_162NW_34O 10260 1085280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_385 FreePDK45_38x28_10R_NP_162NW_34O 10260 1088080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_386 FreePDK45_38x28_10R_NP_162NW_34O 10260 1090880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_387 FreePDK45_38x28_10R_NP_162NW_34O 10260 1093680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_388 FreePDK45_38x28_10R_NP_162NW_34O 10260 1096480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_389 FreePDK45_38x28_10R_NP_162NW_34O 10260 1099280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_390 FreePDK45_38x28_10R_NP_162NW_34O 10260 1102080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_391 FreePDK45_38x28_10R_NP_162NW_34O 10260 1104880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_392 FreePDK45_38x28_10R_NP_162NW_34O 10260 1107680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_393 FreePDK45_38x28_10R_NP_162NW_34O 10260 1110480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_394 FreePDK45_38x28_10R_NP_162NW_34O 10260 1113280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_395 FreePDK45_38x28_10R_NP_162NW_34O 10260 1116080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_396 FreePDK45_38x28_10R_NP_162NW_34O 10260 1118880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_397 FreePDK45_38x28_10R_NP_162NW_34O 10260 1121680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_398 FreePDK45_38x28_10R_NP_162NW_34O 10260 1124480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_399 FreePDK45_38x28_10R_NP_162NW_34O 10260 1127280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_400 FreePDK45_38x28_10R_NP_162NW_34O 10260 1130080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_401 FreePDK45_38x28_10R_NP_162NW_34O 10260 1132880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_402 FreePDK45_38x28_10R_NP_162NW_34O 10260 1135680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_403 FreePDK45_38x28_10R_NP_162NW_34O 10260 1138480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_404 FreePDK45_38x28_10R_NP_162NW_34O 10260 1141280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_405 FreePDK45_38x28_10R_NP_162NW_34O 10260 1144080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_406 FreePDK45_38x28_10R_NP_162NW_34O 10260 1146880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_407 FreePDK45_38x28_10R_NP_162NW_34O 10260 1149680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_408 FreePDK45_38x28_10R_NP_162NW_34O 10260 1152480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_409 FreePDK45_38x28_10R_NP_162NW_34O 10260 1155280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_410 FreePDK45_38x28_10R_NP_162NW_34O 10260 1158080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_411 FreePDK45_38x28_10R_NP_162NW_34O 10260 1160880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_412 FreePDK45_38x28_10R_NP_162NW_34O 10260 1163680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_413 FreePDK45_38x28_10R_NP_162NW_34O 10260 1166480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_414 FreePDK45_38x28_10R_NP_162NW_34O 10260 1169280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_415 FreePDK45_38x28_10R_NP_162NW_34O 10260 1172080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_416 FreePDK45_38x28_10R_NP_162NW_34O 10260 1174880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_417 FreePDK45_38x28_10R_NP_162NW_34O 10260 1177680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_418 FreePDK45_38x28_10R_NP_162NW_34O 10260 1180480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_419 FreePDK45_38x28_10R_NP_162NW_34O 10260 1183280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_420 FreePDK45_38x28_10R_NP_162NW_34O 10260 1186080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_421 FreePDK45_38x28_10R_NP_162NW_34O 10260 1188880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_422 FreePDK45_38x28_10R_NP_162NW_34O 10260 1191680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_423 FreePDK45_38x28_10R_NP_162NW_34O 10260 1194480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_424 FreePDK45_38x28_10R_NP_162NW_34O 10260 1197280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_425 FreePDK45_38x28_10R_NP_162NW_34O 10260 1200080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_426 FreePDK45_38x28_10R_NP_162NW_34O 10260 1202880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_427 FreePDK45_38x28_10R_NP_162NW_34O 10260 1205680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_428 FreePDK45_38x28_10R_NP_162NW_34O 10260 1208480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_429 FreePDK45_38x28_10R_NP_162NW_34O 10260 1211280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_430 FreePDK45_38x28_10R_NP_162NW_34O 10260 1214080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_431 FreePDK45_38x28_10R_NP_162NW_34O 10260 1216880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_432 FreePDK45_38x28_10R_NP_162NW_34O 10260 1219680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_433 FreePDK45_38x28_10R_NP_162NW_34O 10260 1222480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_434 FreePDK45_38x28_10R_NP_162NW_34O 10260 1225280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_435 FreePDK45_38x28_10R_NP_162NW_34O 10260 1228080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_436 FreePDK45_38x28_10R_NP_162NW_34O 10260 1230880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_437 FreePDK45_38x28_10R_NP_162NW_34O 10260 1233680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_438 FreePDK45_38x28_10R_NP_162NW_34O 10260 1236480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_439 FreePDK45_38x28_10R_NP_162NW_34O 10260 1239280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_440 FreePDK45_38x28_10R_NP_162NW_34O 10260 1242080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_441 FreePDK45_38x28_10R_NP_162NW_34O 10260 1244880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_442 FreePDK45_38x28_10R_NP_162NW_34O 10260 1247680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_443 FreePDK45_38x28_10R_NP_162NW_34O 10260 1250480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_444 FreePDK45_38x28_10R_NP_162NW_34O 10260 1253280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_445 FreePDK45_38x28_10R_NP_162NW_34O 10260 1256080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_446 FreePDK45_38x28_10R_NP_162NW_34O 10260 1258880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_447 FreePDK45_38x28_10R_NP_162NW_34O 10260 1261680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_448 FreePDK45_38x28_10R_NP_162NW_34O 10260 1264480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_449 FreePDK45_38x28_10R_NP_162NW_34O 10260 1267280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_450 FreePDK45_38x28_10R_NP_162NW_34O 10260 1270080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_451 FreePDK45_38x28_10R_NP_162NW_34O 10260 1272880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_452 FreePDK45_38x28_10R_NP_162NW_34O 10260 1275680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_453 FreePDK45_38x28_10R_NP_162NW_34O 10260 1278480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_454 FreePDK45_38x28_10R_NP_162NW_34O 10260 1281280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_455 FreePDK45_38x28_10R_NP_162NW_34O 10260 1284080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_456 FreePDK45_38x28_10R_NP_162NW_34O 10260 1286880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_457 FreePDK45_38x28_10R_NP_162NW_34O 10260 1289680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_458 FreePDK45_38x28_10R_NP_162NW_34O 10260 1292480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_459 FreePDK45_38x28_10R_NP_162NW_34O 10260 1295280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_460 FreePDK45_38x28_10R_NP_162NW_34O 10260 1298080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_461 FreePDK45_38x28_10R_NP_162NW_34O 10260 1300880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_462 FreePDK45_38x28_10R_NP_162NW_34O 10260 1303680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_463 FreePDK45_38x28_10R_NP_162NW_34O 10260 1306480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_464 FreePDK45_38x28_10R_NP_162NW_34O 10260 1309280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_465 FreePDK45_38x28_10R_NP_162NW_34O 10260 1312080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_466 FreePDK45_38x28_10R_NP_162NW_34O 10260 1314880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_467 FreePDK45_38x28_10R_NP_162NW_34O 10260 1317680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_468 FreePDK45_38x28_10R_NP_162NW_34O 10260 1320480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_469 FreePDK45_38x28_10R_NP_162NW_34O 10260 1323280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_470 FreePDK45_38x28_10R_NP_162NW_34O 10260 1326080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_471 FreePDK45_38x28_10R_NP_162NW_34O 10260 1328880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_472 FreePDK45_38x28_10R_NP_162NW_34O 10260 1331680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_473 FreePDK45_38x28_10R_NP_162NW_34O 10260 1334480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_474 FreePDK45_38x28_10R_NP_162NW_34O 10260 1337280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_475 FreePDK45_38x28_10R_NP_162NW_34O 10260 1340080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_476 FreePDK45_38x28_10R_NP_162NW_34O 10260 1342880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_477 FreePDK45_38x28_10R_NP_162NW_34O 10260 1345680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_478 FreePDK45_38x28_10R_NP_162NW_34O 10260 1348480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_479 FreePDK45_38x28_10R_NP_162NW_34O 10260 1351280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_480 FreePDK45_38x28_10R_NP_162NW_34O 10260 1354080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_481 FreePDK45_38x28_10R_NP_162NW_34O 10260 1356880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_482 FreePDK45_38x28_10R_NP_162NW_34O 10260 1359680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_483 FreePDK45_38x28_10R_NP_162NW_34O 10260 1362480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_484 FreePDK45_38x28_10R_NP_162NW_34O 10260 1365280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_485 FreePDK45_38x28_10R_NP_162NW_34O 10260 1368080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_486 FreePDK45_38x28_10R_NP_162NW_34O 10260 1370880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_487 FreePDK45_38x28_10R_NP_162NW_34O 10260 1373680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_488 FreePDK45_38x28_10R_NP_162NW_34O 10260 1376480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_489 FreePDK45_38x28_10R_NP_162NW_34O 10260 1379280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_490 FreePDK45_38x28_10R_NP_162NW_34O 10260 1382080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_491 FreePDK45_38x28_10R_NP_162NW_34O 10260 1384880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_492 FreePDK45_38x28_10R_NP_162NW_34O 10260 1387680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_493 FreePDK45_38x28_10R_NP_162NW_34O 10260 1390480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_494 FreePDK45_38x28_10R_NP_162NW_34O 10260 1393280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_495 FreePDK45_38x28_10R_NP_162NW_34O 10260 1396080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_496 FreePDK45_38x28_10R_NP_162NW_34O 10260 1398880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_497 FreePDK45_38x28_10R_NP_162NW_34O 10260 1401680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_498 FreePDK45_38x28_10R_NP_162NW_34O 10260 1404480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_499 FreePDK45_38x28_10R_NP_162NW_34O 10260 1407280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_500 FreePDK45_38x28_10R_NP_162NW_34O 10260 1410080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_501 FreePDK45_38x28_10R_NP_162NW_34O 10260 1412880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_502 FreePDK45_38x28_10R_NP_162NW_34O 10260 1415680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_503 FreePDK45_38x28_10R_NP_162NW_34O 10260 1418480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_504 FreePDK45_38x28_10R_NP_162NW_34O 10260 1421280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_505 FreePDK45_38x28_10R_NP_162NW_34O 10260 1424080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_506 FreePDK45_38x28_10R_NP_162NW_34O 10260 1426880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_507 FreePDK45_38x28_10R_NP_162NW_34O 10260 1429680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_508 FreePDK45_38x28_10R_NP_162NW_34O 10260 1432480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_509 FreePDK45_38x28_10R_NP_162NW_34O 10260 1435280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_510 FreePDK45_38x28_10R_NP_162NW_34O 10260 1438080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_511 FreePDK45_38x28_10R_NP_162NW_34O 10260 1440880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_512 FreePDK45_38x28_10R_NP_162NW_34O 10260 1443680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_513 FreePDK45_38x28_10R_NP_162NW_34O 10260 1446480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_514 FreePDK45_38x28_10R_NP_162NW_34O 10260 1449280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_515 FreePDK45_38x28_10R_NP_162NW_34O 10260 1452080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_516 FreePDK45_38x28_10R_NP_162NW_34O 10260 1454880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_517 FreePDK45_38x28_10R_NP_162NW_34O 10260 1457680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_518 FreePDK45_38x28_10R_NP_162NW_34O 10260 1460480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_519 FreePDK45_38x28_10R_NP_162NW_34O 10260 1463280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_520 FreePDK45_38x28_10R_NP_162NW_34O 10260 1466080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_521 FreePDK45_38x28_10R_NP_162NW_34O 10260 1468880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_522 FreePDK45_38x28_10R_NP_162NW_34O 10260 1471680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_523 FreePDK45_38x28_10R_NP_162NW_34O 10260 1474480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_524 FreePDK45_38x28_10R_NP_162NW_34O 10260 1477280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_525 FreePDK45_38x28_10R_NP_162NW_34O 10260 1480080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_526 FreePDK45_38x28_10R_NP_162NW_34O 10260 1482880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_527 FreePDK45_38x28_10R_NP_162NW_34O 10260 1485680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_528 FreePDK45_38x28_10R_NP_162NW_34O 10260 1488480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_529 FreePDK45_38x28_10R_NP_162NW_34O 10260 1491280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_530 FreePDK45_38x28_10R_NP_162NW_34O 10260 1494080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_531 FreePDK45_38x28_10R_NP_162NW_34O 10260 1496880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_532 FreePDK45_38x28_10R_NP_162NW_34O 10260 1499680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_533 FreePDK45_38x28_10R_NP_162NW_34O 10260 1502480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_534 FreePDK45_38x28_10R_NP_162NW_34O 10260 1505280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_535 FreePDK45_38x28_10R_NP_162NW_34O 10260 1508080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_536 FreePDK45_38x28_10R_NP_162NW_34O 10260 1510880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_537 FreePDK45_38x28_10R_NP_162NW_34O 10260 1513680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_538 FreePDK45_38x28_10R_NP_162NW_34O 10260 1516480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_539 FreePDK45_38x28_10R_NP_162NW_34O 10260 1519280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_540 FreePDK45_38x28_10R_NP_162NW_34O 10260 1522080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_541 FreePDK45_38x28_10R_NP_162NW_34O 10260 1524880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_542 FreePDK45_38x28_10R_NP_162NW_34O 10260 1527680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_543 FreePDK45_38x28_10R_NP_162NW_34O 10260 1530480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_544 FreePDK45_38x28_10R_NP_162NW_34O 10260 1533280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_545 FreePDK45_38x28_10R_NP_162NW_34O 10260 1536080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_546 FreePDK45_38x28_10R_NP_162NW_34O 10260 1538880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_547 FreePDK45_38x28_10R_NP_162NW_34O 10260 1541680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_548 FreePDK45_38x28_10R_NP_162NW_34O 10260 1544480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_549 FreePDK45_38x28_10R_NP_162NW_34O 10260 1547280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_550 FreePDK45_38x28_10R_NP_162NW_34O 10260 1550080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_551 FreePDK45_38x28_10R_NP_162NW_34O 10260 1552880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_552 FreePDK45_38x28_10R_NP_162NW_34O 10260 1555680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_553 FreePDK45_38x28_10R_NP_162NW_34O 10260 1558480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_554 FreePDK45_38x28_10R_NP_162NW_34O 10260 1561280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_555 FreePDK45_38x28_10R_NP_162NW_34O 10260 1564080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_556 FreePDK45_38x28_10R_NP_162NW_34O 10260 1566880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_557 FreePDK45_38x28_10R_NP_162NW_34O 10260 1569680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_558 FreePDK45_38x28_10R_NP_162NW_34O 10260 1572480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_559 FreePDK45_38x28_10R_NP_162NW_34O 10260 1575280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_560 FreePDK45_38x28_10R_NP_162NW_34O 10260 1578080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_561 FreePDK45_38x28_10R_NP_162NW_34O 10260 1580880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_562 FreePDK45_38x28_10R_NP_162NW_34O 10260 1583680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_563 FreePDK45_38x28_10R_NP_162NW_34O 10260 1586480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_564 FreePDK45_38x28_10R_NP_162NW_34O 10260 1589280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_565 FreePDK45_38x28_10R_NP_162NW_34O 10260 1592080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_566 FreePDK45_38x28_10R_NP_162NW_34O 10260 1594880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_567 FreePDK45_38x28_10R_NP_162NW_34O 10260 1597680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_568 FreePDK45_38x28_10R_NP_162NW_34O 10260 1600480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_569 FreePDK45_38x28_10R_NP_162NW_34O 10260 1603280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_570 FreePDK45_38x28_10R_NP_162NW_34O 10260 1606080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_571 FreePDK45_38x28_10R_NP_162NW_34O 10260 1608880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_572 FreePDK45_38x28_10R_NP_162NW_34O 10260 1611680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_573 FreePDK45_38x28_10R_NP_162NW_34O 10260 1614480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_574 FreePDK45_38x28_10R_NP_162NW_34O 10260 1617280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_575 FreePDK45_38x28_10R_NP_162NW_34O 10260 1620080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_576 FreePDK45_38x28_10R_NP_162NW_34O 10260 1622880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_577 FreePDK45_38x28_10R_NP_162NW_34O 10260 1625680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_578 FreePDK45_38x28_10R_NP_162NW_34O 10260 1628480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_579 FreePDK45_38x28_10R_NP_162NW_34O 10260 1631280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_580 FreePDK45_38x28_10R_NP_162NW_34O 10260 1634080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_581 FreePDK45_38x28_10R_NP_162NW_34O 10260 1636880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_582 FreePDK45_38x28_10R_NP_162NW_34O 10260 1639680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_583 FreePDK45_38x28_10R_NP_162NW_34O 10260 1642480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_584 FreePDK45_38x28_10R_NP_162NW_34O 10260 1645280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_585 FreePDK45_38x28_10R_NP_162NW_34O 10260 1648080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_586 FreePDK45_38x28_10R_NP_162NW_34O 10260 1650880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_587 FreePDK45_38x28_10R_NP_162NW_34O 10260 1653680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_588 FreePDK45_38x28_10R_NP_162NW_34O 10260 1656480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_589 FreePDK45_38x28_10R_NP_162NW_34O 10260 1659280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_590 FreePDK45_38x28_10R_NP_162NW_34O 10260 1662080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_591 FreePDK45_38x28_10R_NP_162NW_34O 10260 1664880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_592 FreePDK45_38x28_10R_NP_162NW_34O 10260 1667680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_593 FreePDK45_38x28_10R_NP_162NW_34O 10260 1670480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_594 FreePDK45_38x28_10R_NP_162NW_34O 10260 1673280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_595 FreePDK45_38x28_10R_NP_162NW_34O 10260 1676080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_596 FreePDK45_38x28_10R_NP_162NW_34O 10260 1678880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_597 FreePDK45_38x28_10R_NP_162NW_34O 10260 1681680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_598 FreePDK45_38x28_10R_NP_162NW_34O 10260 1684480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_599 FreePDK45_38x28_10R_NP_162NW_34O 10260 1687280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_600 FreePDK45_38x28_10R_NP_162NW_34O 10260 1690080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_601 FreePDK45_38x28_10R_NP_162NW_34O 10260 1692880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_602 FreePDK45_38x28_10R_NP_162NW_34O 10260 1695680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_603 FreePDK45_38x28_10R_NP_162NW_34O 10260 1698480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_604 FreePDK45_38x28_10R_NP_162NW_34O 10260 1701280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_605 FreePDK45_38x28_10R_NP_162NW_34O 10260 1704080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_606 FreePDK45_38x28_10R_NP_162NW_34O 10260 1706880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_607 FreePDK45_38x28_10R_NP_162NW_34O 10260 1709680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_608 FreePDK45_38x28_10R_NP_162NW_34O 10260 1712480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_609 FreePDK45_38x28_10R_NP_162NW_34O 10260 1715280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_610 FreePDK45_38x28_10R_NP_162NW_34O 10260 1718080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_611 FreePDK45_38x28_10R_NP_162NW_34O 10260 1720880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_612 FreePDK45_38x28_10R_NP_162NW_34O 10260 1723680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_613 FreePDK45_38x28_10R_NP_162NW_34O 10260 1726480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_614 FreePDK45_38x28_10R_NP_162NW_34O 10260 1729280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_615 FreePDK45_38x28_10R_NP_162NW_34O 10260 1732080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_616 FreePDK45_38x28_10R_NP_162NW_34O 10260 1734880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_617 FreePDK45_38x28_10R_NP_162NW_34O 10260 1737680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_618 FreePDK45_38x28_10R_NP_162NW_34O 10260 1740480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_619 FreePDK45_38x28_10R_NP_162NW_34O 10260 1743280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_620 FreePDK45_38x28_10R_NP_162NW_34O 10260 1746080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_621 FreePDK45_38x28_10R_NP_162NW_34O 10260 1748880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_622 FreePDK45_38x28_10R_NP_162NW_34O 10260 1751680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_623 FreePDK45_38x28_10R_NP_162NW_34O 10260 1754480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_624 FreePDK45_38x28_10R_NP_162NW_34O 10260 1757280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_625 FreePDK45_38x28_10R_NP_162NW_34O 10260 1760080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_626 FreePDK45_38x28_10R_NP_162NW_34O 10260 1762880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_627 FreePDK45_38x28_10R_NP_162NW_34O 10260 1765680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_628 FreePDK45_38x28_10R_NP_162NW_34O 10260 1768480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_629 FreePDK45_38x28_10R_NP_162NW_34O 10260 1771280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_630 FreePDK45_38x28_10R_NP_162NW_34O 10260 1774080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_631 FreePDK45_38x28_10R_NP_162NW_34O 10260 1776880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_632 FreePDK45_38x28_10R_NP_162NW_34O 10260 1779680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_633 FreePDK45_38x28_10R_NP_162NW_34O 10260 1782480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_634 FreePDK45_38x28_10R_NP_162NW_34O 10260 1785280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_635 FreePDK45_38x28_10R_NP_162NW_34O 10260 1788080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_636 FreePDK45_38x28_10R_NP_162NW_34O 10260 1790880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_637 FreePDK45_38x28_10R_NP_162NW_34O 10260 1793680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_638 FreePDK45_38x28_10R_NP_162NW_34O 10260 1796480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_639 FreePDK45_38x28_10R_NP_162NW_34O 10260 1799280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_640 FreePDK45_38x28_10R_NP_162NW_34O 10260 1802080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_641 FreePDK45_38x28_10R_NP_162NW_34O 10260 1804880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_642 FreePDK45_38x28_10R_NP_162NW_34O 10260 1807680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_643 FreePDK45_38x28_10R_NP_162NW_34O 10260 1810480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_644 FreePDK45_38x28_10R_NP_162NW_34O 10260 1813280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_645 FreePDK45_38x28_10R_NP_162NW_34O 10260 1816080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_646 FreePDK45_38x28_10R_NP_162NW_34O 10260 1818880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_647 FreePDK45_38x28_10R_NP_162NW_34O 10260 1821680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_648 FreePDK45_38x28_10R_NP_162NW_34O 10260 1824480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_649 FreePDK45_38x28_10R_NP_162NW_34O 10260 1827280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_650 FreePDK45_38x28_10R_NP_162NW_34O 10260 1830080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_651 FreePDK45_38x28_10R_NP_162NW_34O 10260 1832880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_652 FreePDK45_38x28_10R_NP_162NW_34O 10260 1835680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_653 FreePDK45_38x28_10R_NP_162NW_34O 10260 1838480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_654 FreePDK45_38x28_10R_NP_162NW_34O 10260 1841280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_655 FreePDK45_38x28_10R_NP_162NW_34O 10260 1844080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_656 FreePDK45_38x28_10R_NP_162NW_34O 10260 1846880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_657 FreePDK45_38x28_10R_NP_162NW_34O 10260 1849680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_658 FreePDK45_38x28_10R_NP_162NW_34O 10260 1852480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_659 FreePDK45_38x28_10R_NP_162NW_34O 10260 1855280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_660 FreePDK45_38x28_10R_NP_162NW_34O 10260 1858080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_661 FreePDK45_38x28_10R_NP_162NW_34O 10260 1860880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_662 FreePDK45_38x28_10R_NP_162NW_34O 10260 1863680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_663 FreePDK45_38x28_10R_NP_162NW_34O 10260 1866480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_664 FreePDK45_38x28_10R_NP_162NW_34O 10260 1869280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_665 FreePDK45_38x28_10R_NP_162NW_34O 10260 1872080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_666 FreePDK45_38x28_10R_NP_162NW_34O 10260 1874880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_667 FreePDK45_38x28_10R_NP_162NW_34O 10260 1877680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_668 FreePDK45_38x28_10R_NP_162NW_34O 10260 1880480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_669 FreePDK45_38x28_10R_NP_162NW_34O 10260 1883280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_670 FreePDK45_38x28_10R_NP_162NW_34O 10260 1886080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_671 FreePDK45_38x28_10R_NP_162NW_34O 10260 1888880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_672 FreePDK45_38x28_10R_NP_162NW_34O 10260 1891680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_673 FreePDK45_38x28_10R_NP_162NW_34O 10260 1894480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_674 FreePDK45_38x28_10R_NP_162NW_34O 10260 1897280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_675 FreePDK45_38x28_10R_NP_162NW_34O 10260 1900080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_676 FreePDK45_38x28_10R_NP_162NW_34O 10260 1902880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_677 FreePDK45_38x28_10R_NP_162NW_34O 10260 1905680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_678 FreePDK45_38x28_10R_NP_162NW_34O 10260 1908480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_679 FreePDK45_38x28_10R_NP_162NW_34O 10260 1911280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_680 FreePDK45_38x28_10R_NP_162NW_34O 10260 1914080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_681 FreePDK45_38x28_10R_NP_162NW_34O 10260 1916880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_682 FreePDK45_38x28_10R_NP_162NW_34O 10260 1919680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_683 FreePDK45_38x28_10R_NP_162NW_34O 10260 1922480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_684 FreePDK45_38x28_10R_NP_162NW_34O 10260 1925280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_685 FreePDK45_38x28_10R_NP_162NW_34O 10260 1928080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_686 FreePDK45_38x28_10R_NP_162NW_34O 10260 1930880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_687 FreePDK45_38x28_10R_NP_162NW_34O 10260 1933680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_688 FreePDK45_38x28_10R_NP_162NW_34O 10260 1936480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_689 FreePDK45_38x28_10R_NP_162NW_34O 10260 1939280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_690 FreePDK45_38x28_10R_NP_162NW_34O 10260 1942080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_691 FreePDK45_38x28_10R_NP_162NW_34O 10260 1944880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_692 FreePDK45_38x28_10R_NP_162NW_34O 10260 1947680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_693 FreePDK45_38x28_10R_NP_162NW_34O 10260 1950480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_694 FreePDK45_38x28_10R_NP_162NW_34O 10260 1953280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_695 FreePDK45_38x28_10R_NP_162NW_34O 10260 1956080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_696 FreePDK45_38x28_10R_NP_162NW_34O 10260 1958880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_697 FreePDK45_38x28_10R_NP_162NW_34O 10260 1961680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_698 FreePDK45_38x28_10R_NP_162NW_34O 10260 1964480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_699 FreePDK45_38x28_10R_NP_162NW_34O 10260 1967280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_700 FreePDK45_38x28_10R_NP_162NW_34O 10260 1970080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_701 FreePDK45_38x28_10R_NP_162NW_34O 10260 1972880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_702 FreePDK45_38x28_10R_NP_162NW_34O 10260 1975680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_703 FreePDK45_38x28_10R_NP_162NW_34O 10260 1978480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_704 FreePDK45_38x28_10R_NP_162NW_34O 10260 1981280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_705 FreePDK45_38x28_10R_NP_162NW_34O 10260 1984080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_706 FreePDK45_38x28_10R_NP_162NW_34O 10260 1986880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_707 FreePDK45_38x28_10R_NP_162NW_34O 10260 1989680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_708 FreePDK45_38x28_10R_NP_162NW_34O 10260 1992480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_709 FreePDK45_38x28_10R_NP_162NW_34O 10260 1995280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_710 FreePDK45_38x28_10R_NP_162NW_34O 10260 1998080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_711 FreePDK45_38x28_10R_NP_162NW_34O 10260 2000880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_712 FreePDK45_38x28_10R_NP_162NW_34O 10260 2003680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_713 FreePDK45_38x28_10R_NP_162NW_34O 10260 2006480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_714 FreePDK45_38x28_10R_NP_162NW_34O 10260 2009280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_715 FreePDK45_38x28_10R_NP_162NW_34O 10260 2012080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_716 FreePDK45_38x28_10R_NP_162NW_34O 10260 2014880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_717 FreePDK45_38x28_10R_NP_162NW_34O 10260 2017680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_718 FreePDK45_38x28_10R_NP_162NW_34O 10260 2020480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_719 FreePDK45_38x28_10R_NP_162NW_34O 10260 2023280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_720 FreePDK45_38x28_10R_NP_162NW_34O 10260 2026080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_721 FreePDK45_38x28_10R_NP_162NW_34O 10260 2028880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_722 FreePDK45_38x28_10R_NP_162NW_34O 10260 2031680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_723 FreePDK45_38x28_10R_NP_162NW_34O 10260 2034480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_724 FreePDK45_38x28_10R_NP_162NW_34O 10260 2037280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_725 FreePDK45_38x28_10R_NP_162NW_34O 10260 2040080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_726 FreePDK45_38x28_10R_NP_162NW_34O 10260 2042880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_727 FreePDK45_38x28_10R_NP_162NW_34O 10260 2045680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_728 FreePDK45_38x28_10R_NP_162NW_34O 10260 2048480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_729 FreePDK45_38x28_10R_NP_162NW_34O 10260 2051280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_730 FreePDK45_38x28_10R_NP_162NW_34O 10260 2054080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_731 FreePDK45_38x28_10R_NP_162NW_34O 10260 2056880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_732 FreePDK45_38x28_10R_NP_162NW_34O 10260 2059680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_733 FreePDK45_38x28_10R_NP_162NW_34O 10260 2062480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_734 FreePDK45_38x28_10R_NP_162NW_34O 10260 2065280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_735 FreePDK45_38x28_10R_NP_162NW_34O 10260 2068080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_736 FreePDK45_38x28_10R_NP_162NW_34O 10260 2070880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_737 FreePDK45_38x28_10R_NP_162NW_34O 10260 2073680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_738 FreePDK45_38x28_10R_NP_162NW_34O 10260 2076480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_739 FreePDK45_38x28_10R_NP_162NW_34O 10260 2079280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_740 FreePDK45_38x28_10R_NP_162NW_34O 10260 2082080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_741 FreePDK45_38x28_10R_NP_162NW_34O 10260 2084880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_742 FreePDK45_38x28_10R_NP_162NW_34O 10260 2087680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_743 FreePDK45_38x28_10R_NP_162NW_34O 10260 2090480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_744 FreePDK45_38x28_10R_NP_162NW_34O 10260 2093280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_745 FreePDK45_38x28_10R_NP_162NW_34O 10260 2096080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_746 FreePDK45_38x28_10R_NP_162NW_34O 10260 2098880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_747 FreePDK45_38x28_10R_NP_162NW_34O 10260 2101680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_748 FreePDK45_38x28_10R_NP_162NW_34O 10260 2104480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_749 FreePDK45_38x28_10R_NP_162NW_34O 10260 2107280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_750 FreePDK45_38x28_10R_NP_162NW_34O 10260 2110080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_751 FreePDK45_38x28_10R_NP_162NW_34O 10260 2112880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_752 FreePDK45_38x28_10R_NP_162NW_34O 10260 2115680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_753 FreePDK45_38x28_10R_NP_162NW_34O 10260 2118480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_754 FreePDK45_38x28_10R_NP_162NW_34O 10260 2121280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_755 FreePDK45_38x28_10R_NP_162NW_34O 10260 2124080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_756 FreePDK45_38x28_10R_NP_162NW_34O 10260 2126880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_757 FreePDK45_38x28_10R_NP_162NW_34O 10260 2129680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_758 FreePDK45_38x28_10R_NP_162NW_34O 10260 2132480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_759 FreePDK45_38x28_10R_NP_162NW_34O 10260 2135280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_760 FreePDK45_38x28_10R_NP_162NW_34O 10260 2138080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_761 FreePDK45_38x28_10R_NP_162NW_34O 10260 2140880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_762 FreePDK45_38x28_10R_NP_162NW_34O 10260 2143680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_763 FreePDK45_38x28_10R_NP_162NW_34O 10260 2146480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_764 FreePDK45_38x28_10R_NP_162NW_34O 10260 2149280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_765 FreePDK45_38x28_10R_NP_162NW_34O 10260 2152080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_766 FreePDK45_38x28_10R_NP_162NW_34O 10260 2154880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_767 FreePDK45_38x28_10R_NP_162NW_34O 10260 2157680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_768 FreePDK45_38x28_10R_NP_162NW_34O 10260 2160480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_769 FreePDK45_38x28_10R_NP_162NW_34O 10260 2163280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_770 FreePDK45_38x28_10R_NP_162NW_34O 10260 2166080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_771 FreePDK45_38x28_10R_NP_162NW_34O 10260 2168880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_772 FreePDK45_38x28_10R_NP_162NW_34O 10260 2171680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_773 FreePDK45_38x28_10R_NP_162NW_34O 10260 2174480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_774 FreePDK45_38x28_10R_NP_162NW_34O 10260 2177280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_775 FreePDK45_38x28_10R_NP_162NW_34O 10260 2180080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_776 FreePDK45_38x28_10R_NP_162NW_34O 10260 2182880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_777 FreePDK45_38x28_10R_NP_162NW_34O 10260 2185680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_778 FreePDK45_38x28_10R_NP_162NW_34O 10260 2188480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_779 FreePDK45_38x28_10R_NP_162NW_34O 10260 2191280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_780 FreePDK45_38x28_10R_NP_162NW_34O 10260 2194080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_781 FreePDK45_38x28_10R_NP_162NW_34O 10260 2196880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_782 FreePDK45_38x28_10R_NP_162NW_34O 10260 2199680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_783 FreePDK45_38x28_10R_NP_162NW_34O 10260 2202480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_784 FreePDK45_38x28_10R_NP_162NW_34O 10260 2205280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_785 FreePDK45_38x28_10R_NP_162NW_34O 10260 2208080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_786 FreePDK45_38x28_10R_NP_162NW_34O 10260 2210880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_787 FreePDK45_38x28_10R_NP_162NW_34O 10260 2213680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_788 FreePDK45_38x28_10R_NP_162NW_34O 10260 2216480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_789 FreePDK45_38x28_10R_NP_162NW_34O 10260 2219280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_790 FreePDK45_38x28_10R_NP_162NW_34O 10260 2222080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_791 FreePDK45_38x28_10R_NP_162NW_34O 10260 2224880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_792 FreePDK45_38x28_10R_NP_162NW_34O 10260 2227680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_793 FreePDK45_38x28_10R_NP_162NW_34O 10260 2230480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_794 FreePDK45_38x28_10R_NP_162NW_34O 10260 2233280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_795 FreePDK45_38x28_10R_NP_162NW_34O 10260 2236080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_796 FreePDK45_38x28_10R_NP_162NW_34O 10260 2238880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_797 FreePDK45_38x28_10R_NP_162NW_34O 10260 2241680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_798 FreePDK45_38x28_10R_NP_162NW_34O 10260 2244480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_799 FreePDK45_38x28_10R_NP_162NW_34O 10260 2247280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_800 FreePDK45_38x28_10R_NP_162NW_34O 10260 2250080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_801 FreePDK45_38x28_10R_NP_162NW_34O 10260 2252880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_802 FreePDK45_38x28_10R_NP_162NW_34O 10260 2255680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_803 FreePDK45_38x28_10R_NP_162NW_34O 10260 2258480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_804 FreePDK45_38x28_10R_NP_162NW_34O 10260 2261280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_805 FreePDK45_38x28_10R_NP_162NW_34O 10260 2264080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_806 FreePDK45_38x28_10R_NP_162NW_34O 10260 2266880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_807 FreePDK45_38x28_10R_NP_162NW_34O 10260 2269680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_808 FreePDK45_38x28_10R_NP_162NW_34O 10260 2272480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_809 FreePDK45_38x28_10R_NP_162NW_34O 10260 2275280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_810 FreePDK45_38x28_10R_NP_162NW_34O 10260 2278080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_811 FreePDK45_38x28_10R_NP_162NW_34O 10260 2280880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_812 FreePDK45_38x28_10R_NP_162NW_34O 10260 2283680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_813 FreePDK45_38x28_10R_NP_162NW_34O 10260 2286480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_814 FreePDK45_38x28_10R_NP_162NW_34O 10260 2289280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_815 FreePDK45_38x28_10R_NP_162NW_34O 10260 2292080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_816 FreePDK45_38x28_10R_NP_162NW_34O 10260 2294880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_817 FreePDK45_38x28_10R_NP_162NW_34O 10260 2297680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_818 FreePDK45_38x28_10R_NP_162NW_34O 10260 2300480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_819 FreePDK45_38x28_10R_NP_162NW_34O 10260 2303280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_820 FreePDK45_38x28_10R_NP_162NW_34O 10260 2306080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_821 FreePDK45_38x28_10R_NP_162NW_34O 10260 2308880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_822 FreePDK45_38x28_10R_NP_162NW_34O 10260 2311680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_823 FreePDK45_38x28_10R_NP_162NW_34O 10260 2314480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_824 FreePDK45_38x28_10R_NP_162NW_34O 10260 2317280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_825 FreePDK45_38x28_10R_NP_162NW_34O 10260 2320080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_826 FreePDK45_38x28_10R_NP_162NW_34O 10260 2322880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_827 FreePDK45_38x28_10R_NP_162NW_34O 10260 2325680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_828 FreePDK45_38x28_10R_NP_162NW_34O 10260 2328480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_829 FreePDK45_38x28_10R_NP_162NW_34O 10260 2331280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_830 FreePDK45_38x28_10R_NP_162NW_34O 10260 2334080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_831 FreePDK45_38x28_10R_NP_162NW_34O 10260 2336880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_832 FreePDK45_38x28_10R_NP_162NW_34O 10260 2339680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_833 FreePDK45_38x28_10R_NP_162NW_34O 10260 2342480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_834 FreePDK45_38x28_10R_NP_162NW_34O 10260 2345280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_835 FreePDK45_38x28_10R_NP_162NW_34O 10260 2348080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_836 FreePDK45_38x28_10R_NP_162NW_34O 10260 2350880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_837 FreePDK45_38x28_10R_NP_162NW_34O 10260 2353680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_838 FreePDK45_38x28_10R_NP_162NW_34O 10260 2356480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_839 FreePDK45_38x28_10R_NP_162NW_34O 10260 2359280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_840 FreePDK45_38x28_10R_NP_162NW_34O 10260 2362080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_841 FreePDK45_38x28_10R_NP_162NW_34O 10260 2364880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_842 FreePDK45_38x28_10R_NP_162NW_34O 10260 2367680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_843 FreePDK45_38x28_10R_NP_162NW_34O 10260 2370480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_844 FreePDK45_38x28_10R_NP_162NW_34O 10260 2373280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_845 FreePDK45_38x28_10R_NP_162NW_34O 10260 2376080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_846 FreePDK45_38x28_10R_NP_162NW_34O 10260 2378880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_847 FreePDK45_38x28_10R_NP_162NW_34O 10260 2381680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_848 FreePDK45_38x28_10R_NP_162NW_34O 10260 2384480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_849 FreePDK45_38x28_10R_NP_162NW_34O 10260 2387280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_850 FreePDK45_38x28_10R_NP_162NW_34O 10260 2390080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_851 FreePDK45_38x28_10R_NP_162NW_34O 10260 2392880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_852 FreePDK45_38x28_10R_NP_162NW_34O 10260 2395680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_853 FreePDK45_38x28_10R_NP_162NW_34O 10260 2398480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_854 FreePDK45_38x28_10R_NP_162NW_34O 10260 2401280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_855 FreePDK45_38x28_10R_NP_162NW_34O 10260 2404080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_856 FreePDK45_38x28_10R_NP_162NW_34O 10260 2406880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_857 FreePDK45_38x28_10R_NP_162NW_34O 10260 2409680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_858 FreePDK45_38x28_10R_NP_162NW_34O 10260 2412480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_859 FreePDK45_38x28_10R_NP_162NW_34O 10260 2415280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_860 FreePDK45_38x28_10R_NP_162NW_34O 10260 2418080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_861 FreePDK45_38x28_10R_NP_162NW_34O 10260 2420880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_862 FreePDK45_38x28_10R_NP_162NW_34O 10260 2423680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_863 FreePDK45_38x28_10R_NP_162NW_34O 10260 2426480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_864 FreePDK45_38x28_10R_NP_162NW_34O 10260 2429280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_865 FreePDK45_38x28_10R_NP_162NW_34O 10260 2432080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_866 FreePDK45_38x28_10R_NP_162NW_34O 10260 2434880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_867 FreePDK45_38x28_10R_NP_162NW_34O 10260 2437680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_868 FreePDK45_38x28_10R_NP_162NW_34O 10260 2440480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_869 FreePDK45_38x28_10R_NP_162NW_34O 10260 2443280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_870 FreePDK45_38x28_10R_NP_162NW_34O 10260 2446080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_871 FreePDK45_38x28_10R_NP_162NW_34O 10260 2448880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_872 FreePDK45_38x28_10R_NP_162NW_34O 10260 2451680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_873 FreePDK45_38x28_10R_NP_162NW_34O 10260 2454480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_874 FreePDK45_38x28_10R_NP_162NW_34O 10260 2457280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_875 FreePDK45_38x28_10R_NP_162NW_34O 10260 2460080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_876 FreePDK45_38x28_10R_NP_162NW_34O 10260 2462880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_877 FreePDK45_38x28_10R_NP_162NW_34O 10260 2465680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_878 FreePDK45_38x28_10R_NP_162NW_34O 10260 2468480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_879 FreePDK45_38x28_10R_NP_162NW_34O 10260 2471280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_880 FreePDK45_38x28_10R_NP_162NW_34O 10260 2474080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_881 FreePDK45_38x28_10R_NP_162NW_34O 10260 2476880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_882 FreePDK45_38x28_10R_NP_162NW_34O 10260 2479680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_883 FreePDK45_38x28_10R_NP_162NW_34O 10260 2482480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_884 FreePDK45_38x28_10R_NP_162NW_34O 10260 2485280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_885 FreePDK45_38x28_10R_NP_162NW_34O 10260 2488080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_886 FreePDK45_38x28_10R_NP_162NW_34O 10260 2490880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_887 FreePDK45_38x28_10R_NP_162NW_34O 10260 2493680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_888 FreePDK45_38x28_10R_NP_162NW_34O 10260 2496480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_889 FreePDK45_38x28_10R_NP_162NW_34O 10260 2499280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_890 FreePDK45_38x28_10R_NP_162NW_34O 10260 2502080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_891 FreePDK45_38x28_10R_NP_162NW_34O 10260 2504880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_892 FreePDK45_38x28_10R_NP_162NW_34O 10260 2507680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_893 FreePDK45_38x28_10R_NP_162NW_34O 10260 2510480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_894 FreePDK45_38x28_10R_NP_162NW_34O 10260 2513280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_895 FreePDK45_38x28_10R_NP_162NW_34O 10260 2516080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_896 FreePDK45_38x28_10R_NP_162NW_34O 10260 2518880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_897 FreePDK45_38x28_10R_NP_162NW_34O 10260 2521680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_898 FreePDK45_38x28_10R_NP_162NW_34O 10260 2524480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_899 FreePDK45_38x28_10R_NP_162NW_34O 10260 2527280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_900 FreePDK45_38x28_10R_NP_162NW_34O 10260 2530080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_901 FreePDK45_38x28_10R_NP_162NW_34O 10260 2532880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_902 FreePDK45_38x28_10R_NP_162NW_34O 10260 2535680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_903 FreePDK45_38x28_10R_NP_162NW_34O 10260 2538480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_904 FreePDK45_38x28_10R_NP_162NW_34O 10260 2541280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_905 FreePDK45_38x28_10R_NP_162NW_34O 10260 2544080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_906 FreePDK45_38x28_10R_NP_162NW_34O 10260 2546880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_907 FreePDK45_38x28_10R_NP_162NW_34O 10260 2549680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_908 FreePDK45_38x28_10R_NP_162NW_34O 10260 2552480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_909 FreePDK45_38x28_10R_NP_162NW_34O 10260 2555280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_910 FreePDK45_38x28_10R_NP_162NW_34O 10260 2558080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_911 FreePDK45_38x28_10R_NP_162NW_34O 10260 2560880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_912 FreePDK45_38x28_10R_NP_162NW_34O 10260 2563680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_913 FreePDK45_38x28_10R_NP_162NW_34O 10260 2566480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_914 FreePDK45_38x28_10R_NP_162NW_34O 10260 2569280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_915 FreePDK45_38x28_10R_NP_162NW_34O 10260 2572080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_916 FreePDK45_38x28_10R_NP_162NW_34O 10260 2574880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_917 FreePDK45_38x28_10R_NP_162NW_34O 10260 2577680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_918 FreePDK45_38x28_10R_NP_162NW_34O 10260 2580480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_919 FreePDK45_38x28_10R_NP_162NW_34O 10260 2583280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_920 FreePDK45_38x28_10R_NP_162NW_34O 10260 2586080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_921 FreePDK45_38x28_10R_NP_162NW_34O 10260 2588880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_922 FreePDK45_38x28_10R_NP_162NW_34O 10260 2591680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_923 FreePDK45_38x28_10R_NP_162NW_34O 10260 2594480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_924 FreePDK45_38x28_10R_NP_162NW_34O 10260 2597280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_925 FreePDK45_38x28_10R_NP_162NW_34O 10260 2600080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_926 FreePDK45_38x28_10R_NP_162NW_34O 10260 2602880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_927 FreePDK45_38x28_10R_NP_162NW_34O 10260 2605680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_928 FreePDK45_38x28_10R_NP_162NW_34O 10260 2608480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_929 FreePDK45_38x28_10R_NP_162NW_34O 10260 2611280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_930 FreePDK45_38x28_10R_NP_162NW_34O 10260 2614080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_931 FreePDK45_38x28_10R_NP_162NW_34O 10260 2616880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_932 FreePDK45_38x28_10R_NP_162NW_34O 10260 2619680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_933 FreePDK45_38x28_10R_NP_162NW_34O 10260 2622480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_934 FreePDK45_38x28_10R_NP_162NW_34O 10260 2625280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_935 FreePDK45_38x28_10R_NP_162NW_34O 10260 2628080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_936 FreePDK45_38x28_10R_NP_162NW_34O 10260 2630880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_937 FreePDK45_38x28_10R_NP_162NW_34O 10260 2633680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_938 FreePDK45_38x28_10R_NP_162NW_34O 10260 2636480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_939 FreePDK45_38x28_10R_NP_162NW_34O 10260 2639280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_940 FreePDK45_38x28_10R_NP_162NW_34O 10260 2642080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_941 FreePDK45_38x28_10R_NP_162NW_34O 10260 2644880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_942 FreePDK45_38x28_10R_NP_162NW_34O 10260 2647680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_943 FreePDK45_38x28_10R_NP_162NW_34O 10260 2650480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_944 FreePDK45_38x28_10R_NP_162NW_34O 10260 2653280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_945 FreePDK45_38x28_10R_NP_162NW_34O 10260 2656080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_946 FreePDK45_38x28_10R_NP_162NW_34O 10260 2658880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_947 FreePDK45_38x28_10R_NP_162NW_34O 10260 2661680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_948 FreePDK45_38x28_10R_NP_162NW_34O 10260 2664480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_949 FreePDK45_38x28_10R_NP_162NW_34O 10260 2667280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_950 FreePDK45_38x28_10R_NP_162NW_34O 10260 2670080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_951 FreePDK45_38x28_10R_NP_162NW_34O 10260 2672880 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_952 FreePDK45_38x28_10R_NP_162NW_34O 10260 2675680 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_953 FreePDK45_38x28_10R_NP_162NW_34O 10260 2678480 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_954 FreePDK45_38x28_10R_NP_162NW_34O 10260 2681280 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_955 FreePDK45_38x28_10R_NP_162NW_34O 10260 2684080 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_956 FreePDK45_38x28_10R_NP_162NW_34O 10260 2686880 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_957 FreePDK45_38x28_10R_NP_162NW_34O 10260 2689680 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_958 FreePDK45_38x28_10R_NP_162NW_34O 10260 2692480 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_959 FreePDK45_38x28_10R_NP_162NW_34O 10260 2695280 N DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_960 FreePDK45_38x28_10R_NP_162NW_34O 10260 2698080 FS DO 7090 BY 1 STEP 380 0 ; ROW CORE_ROW_961 FreePDK45_38x28_10R_NP_162NW_34O 10260 2700880 N DO 7090 BY 1 STEP 380 0 ; TRACKS X 3730 DO 807 STEP 3360 LAYER metal10 ; TRACKS Y 3820 DO 847 STEP 3200 LAYER metal10 ; TRACKS X 2050 DO 1615 STEP 1680 LAYER metal9 ; TRACKS Y 3820 DO 847 STEP 3200 LAYER metal9 ; TRACKS X 2050 DO 1615 STEP 1680 LAYER metal8 ; TRACKS Y 1820 DO 1615 STEP 1680 LAYER metal8 ; TRACKS X 370 DO 4848 STEP 560 LAYER metal7 ; TRACKS Y 1820 DO 1615 STEP 1680 LAYER metal7 ; TRACKS X 370 DO 4848 STEP 560 LAYER metal6 ; TRACKS Y 700 DO 4845 STEP 560 LAYER metal6 ; TRACKS X 370 DO 4848 STEP 560 LAYER metal5 ; TRACKS Y 700 DO 4845 STEP 560 LAYER metal5 ; TRACKS X 370 DO 4848 STEP 560 LAYER metal4 ; TRACKS Y 140 DO 9692 STEP 280 LAYER metal4 ; TRACKS X 190 DO 7144 STEP 380 LAYER metal3 ; TRACKS Y 140 DO 9692 STEP 280 LAYER metal3 ; TRACKS X 190 DO 7144 STEP 380 LAYER metal2 ; TRACKS Y 140 DO 9692 STEP 280 LAYER metal2 ; TRACKS X 190 DO 7144 STEP 380 LAYER metal1 ; TRACKS Y 140 DO 9692 STEP 280 LAYER metal1 ; GCELLGRID X 2713390 DO 2 STEP 1330 ; GCELLGRID X 190 DO 715 STEP 3800 ; GCELLGRID X 0 DO 2 STEP 190 ; GCELLGRID Y 2713340 DO 2 STEP 420 ; GCELLGRID Y 140 DO 970 STEP 2800 ; GCELLGRID Y 0 DO 2 STEP 140 ; COMPONENTS 133 ; - i_cache_subsystem/i_icache/sram_block\[0\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 13920 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[0\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 136380 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[0\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 258840 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[0\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 381320 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[0\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 13920 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[0\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 136380 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[0\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 258840 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[0\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 381320 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[0\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 136380 550480 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[0\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 258840 550480 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[0\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 381320 550480 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 503780 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 626240 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 748700 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 871160 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 503780 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 626240 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 748700 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 871160 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 626240 550480 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 748700 550480 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[1\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 871160 550480 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 993640 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 1116100 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 1238560 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 1361020 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 993640 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 1116100 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 1238560 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 1361020 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 1116100 550480 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 1238560 550480 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[2\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 1361020 550480 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 1483480 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 1605940 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 1728420 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 1850880 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 1483480 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 1605940 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 1728420 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 1850880 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 1605940 550480 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 1728420 550480 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_icache/sram_block\[3\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 1850880 550480 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 13920 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 136380 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 258840 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 381320 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 13920 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 136380 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 258840 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 381320 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 136380 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 258840 1897280 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[0\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 381320 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 503780 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 626240 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 748700 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 871160 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 503780 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 626240 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 748700 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 871160 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 626240 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 748700 1897280 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[1\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 871160 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 993640 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 1116100 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 1238560 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 1361020 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 993640 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 1116100 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 1238560 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 1361020 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 1116100 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 1238560 1897280 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[2\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 1361020 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 1483480 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 1605940 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 1728420 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 1850880 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 1483480 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 1605940 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 1728420 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 1850880 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 1605940 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 1728420 1897280 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[3\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 1850880 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 1973340 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 2095800 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 2218260 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 2340740 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 1973340 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 2095800 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 2218260 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 2340740 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 2095800 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 2218260 1897280 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[4\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 2340740 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 2463200 2436000 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 2585660 2436000 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 2463200 2166640 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 2585660 2166640 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 2463200 1897280 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 2585660 1897280 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 2463200 1627920 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 2585660 1627920 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 2340740 1358560 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 2463200 1358560 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[5\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 2585660 1358560 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 1973340 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 2095800 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 2218260 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 2340740 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 1973340 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 2095800 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 2218260 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 2340740 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 2585660 1089200 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 2463200 1089200 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[6\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 2340740 1089200 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].data_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 2463200 11760 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].data_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 2585660 11760 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].data_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 2463200 281120 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].data_sram/macro_mem\[3\].i_ram fakeram45_256x16 + FIXED ( 2585660 281120 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].data_sram/macro_mem\[4\].i_ram fakeram45_256x16 + FIXED ( 2463200 550480 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].data_sram/macro_mem\[5\].i_ram fakeram45_256x16 + FIXED ( 2585660 550480 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].data_sram/macro_mem\[6\].i_ram fakeram45_256x16 + FIXED ( 2463200 819840 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].data_sram/macro_mem\[7\].i_ram fakeram45_256x16 + FIXED ( 2585660 819840 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].tag_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 2218260 1089200 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].tag_sram/macro_mem\[1\].i_ram fakeram45_256x16 + FIXED ( 2095800 1089200 ) N + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/sram_block\[7\].tag_sram/macro_mem\[2\].i_ram fakeram45_256x16 + FIXED ( 1973340 1089200 ) FN + HALO 10000 10000 10000 10000 ; - i_cache_subsystem/i_nbdcache/valid_dirty_sram/macro_mem\[0\].i_ram fakeram45_256x16 + FIXED ( 1850880 1089200 ) N + HALO 10000 10000 10000 10000 ; END COMPONENTS PINS 495 ; - clk_i + NET clk_i + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 475020 ) E ; - rst_ni + NET rst_ni + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 480340 ) E ; - boot_addr_i[63] + NET boot_addr_i[63] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 485660 ) E ; - boot_addr_i[62] + NET boot_addr_i[62] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 490980 ) E ; - boot_addr_i[61] + NET boot_addr_i[61] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 496300 ) E ; - boot_addr_i[60] + NET boot_addr_i[60] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 501620 ) E ; - boot_addr_i[59] + NET boot_addr_i[59] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 506940 ) E ; - boot_addr_i[58] + NET boot_addr_i[58] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 512540 ) E ; - boot_addr_i[57] + NET boot_addr_i[57] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 517860 ) E ; - boot_addr_i[56] + NET boot_addr_i[56] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 523180 ) E ; - boot_addr_i[55] + NET boot_addr_i[55] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 528500 ) E ; - boot_addr_i[54] + NET boot_addr_i[54] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 533820 ) E ; - boot_addr_i[53] + NET boot_addr_i[53] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 539140 ) E ; - boot_addr_i[52] + NET boot_addr_i[52] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 544460 ) E ; - boot_addr_i[51] + NET boot_addr_i[51] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 550060 ) E ; - boot_addr_i[50] + NET boot_addr_i[50] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 555380 ) E ; - boot_addr_i[49] + NET boot_addr_i[49] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 560700 ) E ; - boot_addr_i[48] + NET boot_addr_i[48] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 566020 ) E ; - boot_addr_i[47] + NET boot_addr_i[47] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 571340 ) E ; - boot_addr_i[46] + NET boot_addr_i[46] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 576660 ) E ; - boot_addr_i[45] + NET boot_addr_i[45] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 581980 ) E ; - boot_addr_i[44] + NET boot_addr_i[44] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 587580 ) E ; - boot_addr_i[43] + NET boot_addr_i[43] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 592900 ) E ; - boot_addr_i[42] + NET boot_addr_i[42] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 598220 ) E ; - boot_addr_i[41] + NET boot_addr_i[41] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 603540 ) E ; - boot_addr_i[40] + NET boot_addr_i[40] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 608860 ) E ; - boot_addr_i[39] + NET boot_addr_i[39] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 614180 ) E ; - boot_addr_i[38] + NET boot_addr_i[38] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 619500 ) E ; - boot_addr_i[37] + NET boot_addr_i[37] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 625100 ) E ; - boot_addr_i[36] + NET boot_addr_i[36] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 630420 ) E ; - boot_addr_i[35] + NET boot_addr_i[35] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 635740 ) E ; - boot_addr_i[34] + NET boot_addr_i[34] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 641060 ) E ; - boot_addr_i[33] + NET boot_addr_i[33] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 646380 ) E ; - boot_addr_i[32] + NET boot_addr_i[32] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 651700 ) E ; - boot_addr_i[31] + NET boot_addr_i[31] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 657020 ) E ; - boot_addr_i[30] + NET boot_addr_i[30] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 662620 ) E ; - boot_addr_i[29] + NET boot_addr_i[29] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 667940 ) E ; - boot_addr_i[28] + NET boot_addr_i[28] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 673260 ) E ; - boot_addr_i[27] + NET boot_addr_i[27] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 678580 ) E ; - boot_addr_i[26] + NET boot_addr_i[26] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 683900 ) E ; - boot_addr_i[25] + NET boot_addr_i[25] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 689220 ) E ; - boot_addr_i[24] + NET boot_addr_i[24] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 694540 ) E ; - boot_addr_i[23] + NET boot_addr_i[23] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 700140 ) E ; - boot_addr_i[22] + NET boot_addr_i[22] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 705460 ) E ; - boot_addr_i[21] + NET boot_addr_i[21] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 710780 ) E ; - boot_addr_i[20] + NET boot_addr_i[20] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 716100 ) E ; - boot_addr_i[19] + NET boot_addr_i[19] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 721420 ) E ; - boot_addr_i[18] + NET boot_addr_i[18] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 726740 ) E ; - boot_addr_i[17] + NET boot_addr_i[17] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 732340 ) E ; - boot_addr_i[16] + NET boot_addr_i[16] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 737660 ) E ; - boot_addr_i[15] + NET boot_addr_i[15] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 742980 ) E ; - boot_addr_i[14] + NET boot_addr_i[14] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 748300 ) E ; - boot_addr_i[13] + NET boot_addr_i[13] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 753620 ) E ; - boot_addr_i[12] + NET boot_addr_i[12] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 758940 ) E ; - boot_addr_i[11] + NET boot_addr_i[11] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 764260 ) E ; - boot_addr_i[10] + NET boot_addr_i[10] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 769860 ) E ; - boot_addr_i[9] + NET boot_addr_i[9] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 775180 ) E ; - boot_addr_i[8] + NET boot_addr_i[8] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 780500 ) E ; - boot_addr_i[7] + NET boot_addr_i[7] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 785820 ) E ; - boot_addr_i[6] + NET boot_addr_i[6] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 791140 ) E ; - boot_addr_i[5] + NET boot_addr_i[5] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 796460 ) E ; - boot_addr_i[4] + NET boot_addr_i[4] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 801780 ) E ; - boot_addr_i[3] + NET boot_addr_i[3] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 807380 ) E ; - boot_addr_i[2] + NET boot_addr_i[2] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 812700 ) E ; - boot_addr_i[1] + NET boot_addr_i[1] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 818020 ) E ; - boot_addr_i[0] + NET boot_addr_i[0] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 823340 ) E ; - hart_id_i[63] + NET hart_id_i[63] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 828660 ) E ; - hart_id_i[62] + NET hart_id_i[62] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 833980 ) E ; - hart_id_i[61] + NET hart_id_i[61] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 839300 ) E ; - hart_id_i[60] + NET hart_id_i[60] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 844900 ) E ; - hart_id_i[59] + NET hart_id_i[59] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 850220 ) E ; - hart_id_i[58] + NET hart_id_i[58] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 855540 ) E ; - hart_id_i[57] + NET hart_id_i[57] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 860860 ) E ; - hart_id_i[56] + NET hart_id_i[56] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 866180 ) E ; - hart_id_i[55] + NET hart_id_i[55] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 871500 ) E ; - hart_id_i[54] + NET hart_id_i[54] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 876820 ) E ; - hart_id_i[53] + NET hart_id_i[53] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 882420 ) E ; - hart_id_i[52] + NET hart_id_i[52] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 887740 ) E ; - hart_id_i[51] + NET hart_id_i[51] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 893060 ) E ; - hart_id_i[50] + NET hart_id_i[50] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 898380 ) E ; - hart_id_i[49] + NET hart_id_i[49] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 903700 ) E ; - hart_id_i[48] + NET hart_id_i[48] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 909020 ) E ; - hart_id_i[47] + NET hart_id_i[47] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 914340 ) E ; - hart_id_i[46] + NET hart_id_i[46] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 919940 ) E ; - hart_id_i[45] + NET hart_id_i[45] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 925260 ) E ; - hart_id_i[44] + NET hart_id_i[44] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 930580 ) E ; - hart_id_i[43] + NET hart_id_i[43] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 935900 ) E ; - hart_id_i[42] + NET hart_id_i[42] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 941220 ) E ; - hart_id_i[41] + NET hart_id_i[41] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 946540 ) E ; - hart_id_i[40] + NET hart_id_i[40] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 951860 ) E ; - hart_id_i[39] + NET hart_id_i[39] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 957460 ) E ; - hart_id_i[38] + NET hart_id_i[38] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 962780 ) E ; - hart_id_i[37] + NET hart_id_i[37] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 968100 ) E ; - hart_id_i[36] + NET hart_id_i[36] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 973420 ) E ; - hart_id_i[35] + NET hart_id_i[35] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 978740 ) E ; - hart_id_i[34] + NET hart_id_i[34] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 984060 ) E ; - hart_id_i[33] + NET hart_id_i[33] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 989660 ) E ; - hart_id_i[32] + NET hart_id_i[32] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 994980 ) E ; - hart_id_i[31] + NET hart_id_i[31] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1000300 ) E ; - hart_id_i[30] + NET hart_id_i[30] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1005620 ) E ; - hart_id_i[29] + NET hart_id_i[29] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1010940 ) E ; - hart_id_i[28] + NET hart_id_i[28] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1016260 ) E ; - hart_id_i[27] + NET hart_id_i[27] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1021580 ) E ; - hart_id_i[26] + NET hart_id_i[26] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1027180 ) E ; - hart_id_i[25] + NET hart_id_i[25] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1032500 ) E ; - hart_id_i[24] + NET hart_id_i[24] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1037820 ) E ; - hart_id_i[23] + NET hart_id_i[23] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1043140 ) E ; - hart_id_i[22] + NET hart_id_i[22] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1048460 ) E ; - hart_id_i[21] + NET hart_id_i[21] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1053780 ) E ; - hart_id_i[20] + NET hart_id_i[20] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1059100 ) E ; - hart_id_i[19] + NET hart_id_i[19] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1064700 ) E ; - hart_id_i[18] + NET hart_id_i[18] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1070020 ) E ; - hart_id_i[17] + NET hart_id_i[17] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1075340 ) E ; - hart_id_i[16] + NET hart_id_i[16] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1080660 ) E ; - hart_id_i[15] + NET hart_id_i[15] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1085980 ) E ; - hart_id_i[14] + NET hart_id_i[14] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1091300 ) E ; - hart_id_i[13] + NET hart_id_i[13] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1096620 ) E ; - hart_id_i[12] + NET hart_id_i[12] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1102220 ) E ; - hart_id_i[11] + NET hart_id_i[11] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1107540 ) E ; - hart_id_i[10] + NET hart_id_i[10] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1112860 ) E ; - hart_id_i[9] + NET hart_id_i[9] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1118180 ) E ; - hart_id_i[8] + NET hart_id_i[8] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1123500 ) E ; - hart_id_i[7] + NET hart_id_i[7] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1128820 ) E ; - hart_id_i[6] + NET hart_id_i[6] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1134140 ) E ; - hart_id_i[5] + NET hart_id_i[5] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1139740 ) E ; - hart_id_i[4] + NET hart_id_i[4] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1145060 ) E ; - hart_id_i[3] + NET hart_id_i[3] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1150380 ) E ; - hart_id_i[2] + NET hart_id_i[2] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1155700 ) E ; - hart_id_i[1] + NET hart_id_i[1] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1161020 ) E ; - hart_id_i[0] + NET hart_id_i[0] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1166340 ) E ; - irq_i[1] + NET irq_i[1] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1171660 ) E ; - irq_i[0] + NET irq_i[0] + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1177260 ) E ; - ipi_i + NET ipi_i + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1182580 ) E ; - time_irq_i + NET time_irq_i + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1187900 ) E ; - debug_req_i + NET debug_req_i + DIRECTION INPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1193220 ) E ; - axi_req_o[277] + NET axi_req_o[277] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1198540 ) E ; - axi_req_o[276] + NET axi_req_o[276] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1203860 ) E ; - axi_req_o[275] + NET axi_req_o[275] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1209180 ) E ; - axi_req_o[274] + NET axi_req_o[274] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1214780 ) E ; - axi_req_o[273] + NET axi_req_o[273] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1220100 ) E ; - axi_req_o[272] + NET axi_req_o[272] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1225420 ) E ; - axi_req_o[271] + NET axi_req_o[271] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1230740 ) E ; - axi_req_o[270] + NET axi_req_o[270] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1236060 ) E ; - axi_req_o[269] + NET axi_req_o[269] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1241380 ) E ; - axi_req_o[268] + NET axi_req_o[268] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1246980 ) E ; - axi_req_o[267] + NET axi_req_o[267] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1252300 ) E ; - axi_req_o[266] + NET axi_req_o[266] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1257620 ) E ; - axi_req_o[265] + NET axi_req_o[265] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1262940 ) E ; - axi_req_o[264] + NET axi_req_o[264] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1268260 ) E ; - axi_req_o[263] + NET axi_req_o[263] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1273580 ) E ; - axi_req_o[262] + NET axi_req_o[262] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1278900 ) E ; - axi_req_o[261] + NET axi_req_o[261] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1284500 ) E ; - axi_req_o[260] + NET axi_req_o[260] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1289820 ) E ; - axi_req_o[259] + NET axi_req_o[259] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1295140 ) E ; - axi_req_o[258] + NET axi_req_o[258] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1300460 ) E ; - axi_req_o[257] + NET axi_req_o[257] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1305780 ) E ; - axi_req_o[256] + NET axi_req_o[256] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1311100 ) E ; - axi_req_o[255] + NET axi_req_o[255] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1316420 ) E ; - axi_req_o[254] + NET axi_req_o[254] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1322020 ) E ; - axi_req_o[253] + NET axi_req_o[253] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1327340 ) E ; - axi_req_o[252] + NET axi_req_o[252] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1332660 ) E ; - axi_req_o[251] + NET axi_req_o[251] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1337980 ) E ; - axi_req_o[250] + NET axi_req_o[250] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1343300 ) E ; - axi_req_o[249] + NET axi_req_o[249] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1348620 ) E ; - axi_req_o[248] + NET axi_req_o[248] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1353940 ) E ; - axi_req_o[247] + NET axi_req_o[247] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1359540 ) E ; - axi_req_o[246] + NET axi_req_o[246] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1364860 ) E ; - axi_req_o[245] + NET axi_req_o[245] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1370180 ) E ; - axi_req_o[244] + NET axi_req_o[244] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1375500 ) E ; - axi_req_o[243] + NET axi_req_o[243] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1380820 ) E ; - axi_req_o[242] + NET axi_req_o[242] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1386140 ) E ; - axi_req_o[241] + NET axi_req_o[241] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1391460 ) E ; - axi_req_o[240] + NET axi_req_o[240] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1397060 ) E ; - axi_req_o[239] + NET axi_req_o[239] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1402380 ) E ; - axi_req_o[238] + NET axi_req_o[238] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1407700 ) E ; - axi_req_o[237] + NET axi_req_o[237] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1413020 ) E ; - axi_req_o[236] + NET axi_req_o[236] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1418340 ) E ; - axi_req_o[235] + NET axi_req_o[235] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1423660 ) E ; - axi_req_o[234] + NET axi_req_o[234] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1428980 ) E ; - axi_req_o[233] + NET axi_req_o[233] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1434580 ) E ; - axi_req_o[232] + NET axi_req_o[232] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1439900 ) E ; - axi_req_o[231] + NET axi_req_o[231] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1445220 ) E ; - axi_req_o[230] + NET axi_req_o[230] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1450540 ) E ; - axi_req_o[229] + NET axi_req_o[229] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1455860 ) E ; - axi_req_o[228] + NET axi_req_o[228] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1461180 ) E ; - axi_req_o[227] + NET axi_req_o[227] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1466500 ) E ; - axi_req_o[226] + NET axi_req_o[226] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1472100 ) E ; - axi_req_o[225] + NET axi_req_o[225] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1477420 ) E ; - axi_req_o[224] + NET axi_req_o[224] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1482740 ) E ; - axi_req_o[223] + NET axi_req_o[223] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1488060 ) E ; - axi_req_o[222] + NET axi_req_o[222] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1493380 ) E ; - axi_req_o[221] + NET axi_req_o[221] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1498700 ) E ; - axi_req_o[220] + NET axi_req_o[220] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1504300 ) E ; - axi_req_o[219] + NET axi_req_o[219] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1509620 ) E ; - axi_req_o[218] + NET axi_req_o[218] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1514940 ) E ; - axi_req_o[217] + NET axi_req_o[217] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1520260 ) E ; - axi_req_o[216] + NET axi_req_o[216] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1525580 ) E ; - axi_req_o[215] + NET axi_req_o[215] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1530900 ) E ; - axi_req_o[214] + NET axi_req_o[214] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1536220 ) E ; - axi_req_o[213] + NET axi_req_o[213] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1541820 ) E ; - axi_req_o[212] + NET axi_req_o[212] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1547140 ) E ; - axi_req_o[211] + NET axi_req_o[211] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1552460 ) E ; - axi_req_o[210] + NET axi_req_o[210] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1557780 ) E ; - axi_req_o[209] + NET axi_req_o[209] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1563100 ) E ; - axi_req_o[208] + NET axi_req_o[208] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1568420 ) E ; - axi_req_o[207] + NET axi_req_o[207] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1573740 ) E ; - axi_req_o[206] + NET axi_req_o[206] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1579340 ) E ; - axi_req_o[205] + NET axi_req_o[205] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1584660 ) E ; - axi_req_o[204] + NET axi_req_o[204] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1589980 ) E ; - axi_req_o[203] + NET axi_req_o[203] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1595300 ) E ; - axi_req_o[202] + NET axi_req_o[202] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1600620 ) E ; - axi_req_o[201] + NET axi_req_o[201] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1605940 ) E ; - axi_req_o[200] + NET axi_req_o[200] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1611260 ) E ; - axi_req_o[199] + NET axi_req_o[199] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1616860 ) E ; - axi_req_o[198] + NET axi_req_o[198] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1622180 ) E ; - axi_req_o[197] + NET axi_req_o[197] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1627500 ) E ; - axi_req_o[196] + NET axi_req_o[196] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1632820 ) E ; - axi_req_o[195] + NET axi_req_o[195] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1638140 ) E ; - axi_req_o[194] + NET axi_req_o[194] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1643460 ) E ; - axi_req_o[193] + NET axi_req_o[193] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1648780 ) E ; - axi_req_o[192] + NET axi_req_o[192] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1654380 ) E ; - axi_req_o[191] + NET axi_req_o[191] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1659700 ) E ; - axi_req_o[190] + NET axi_req_o[190] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1665020 ) E ; - axi_req_o[189] + NET axi_req_o[189] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1670340 ) E ; - axi_req_o[188] + NET axi_req_o[188] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1675660 ) E ; - axi_req_o[187] + NET axi_req_o[187] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1680980 ) E ; - axi_req_o[186] + NET axi_req_o[186] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1686300 ) E ; - axi_req_o[185] + NET axi_req_o[185] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1691900 ) E ; - axi_req_o[184] + NET axi_req_o[184] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1697220 ) E ; - axi_req_o[183] + NET axi_req_o[183] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1702540 ) E ; - axi_req_o[182] + NET axi_req_o[182] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1707860 ) E ; - axi_req_o[181] + NET axi_req_o[181] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1713180 ) E ; - axi_req_o[180] + NET axi_req_o[180] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1718500 ) E ; - axi_req_o[179] + NET axi_req_o[179] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1723820 ) E ; - axi_req_o[178] + NET axi_req_o[178] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1729420 ) E ; - axi_req_o[177] + NET axi_req_o[177] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1734740 ) E ; - axi_req_o[176] + NET axi_req_o[176] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1740060 ) E ; - axi_req_o[175] + NET axi_req_o[175] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1745380 ) E ; - axi_req_o[174] + NET axi_req_o[174] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1750700 ) E ; - axi_req_o[173] + NET axi_req_o[173] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1756020 ) E ; - axi_req_o[172] + NET axi_req_o[172] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1761620 ) E ; - axi_req_o[171] + NET axi_req_o[171] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1766940 ) E ; - axi_req_o[170] + NET axi_req_o[170] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1772260 ) E ; - axi_req_o[169] + NET axi_req_o[169] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1777580 ) E ; - axi_req_o[168] + NET axi_req_o[168] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1782900 ) E ; - axi_req_o[167] + NET axi_req_o[167] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1788220 ) E ; - axi_req_o[166] + NET axi_req_o[166] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1793540 ) E ; - axi_req_o[165] + NET axi_req_o[165] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1799140 ) E ; - axi_req_o[164] + NET axi_req_o[164] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1804460 ) E ; - axi_req_o[163] + NET axi_req_o[163] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1809780 ) E ; - axi_req_o[162] + NET axi_req_o[162] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1815100 ) E ; - axi_req_o[161] + NET axi_req_o[161] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1820420 ) E ; - axi_req_o[160] + NET axi_req_o[160] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1825740 ) E ; - axi_req_o[159] + NET axi_req_o[159] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1831060 ) E ; - axi_req_o[158] + NET axi_req_o[158] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1836660 ) E ; - axi_req_o[157] + NET axi_req_o[157] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1841980 ) E ; - axi_req_o[156] + NET axi_req_o[156] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1847300 ) E ; - axi_req_o[155] + NET axi_req_o[155] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1852620 ) E ; - axi_req_o[154] + NET axi_req_o[154] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1857940 ) E ; - axi_req_o[153] + NET axi_req_o[153] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1863260 ) E ; - axi_req_o[152] + NET axi_req_o[152] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1868580 ) E ; - axi_req_o[151] + NET axi_req_o[151] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1874180 ) E ; - axi_req_o[150] + NET axi_req_o[150] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1879500 ) E ; - axi_req_o[149] + NET axi_req_o[149] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1884820 ) E ; - axi_req_o[148] + NET axi_req_o[148] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1890140 ) E ; - axi_req_o[147] + NET axi_req_o[147] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1895460 ) E ; - axi_req_o[146] + NET axi_req_o[146] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1900780 ) E ; - axi_req_o[145] + NET axi_req_o[145] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1906100 ) E ; - axi_req_o[144] + NET axi_req_o[144] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1911700 ) E ; - axi_req_o[143] + NET axi_req_o[143] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1917020 ) E ; - axi_req_o[142] + NET axi_req_o[142] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1922340 ) E ; - axi_req_o[141] + NET axi_req_o[141] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1927660 ) E ; - axi_req_o[140] + NET axi_req_o[140] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1932980 ) E ; - axi_req_o[139] + NET axi_req_o[139] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1938300 ) E ; - axi_req_o[138] + NET axi_req_o[138] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1943620 ) E ; - axi_req_o[137] + NET axi_req_o[137] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1949220 ) E ; - axi_req_o[136] + NET axi_req_o[136] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1954540 ) E ; - axi_req_o[135] + NET axi_req_o[135] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1959860 ) E ; - axi_req_o[134] + NET axi_req_o[134] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1965180 ) E ; - axi_req_o[133] + NET axi_req_o[133] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1970500 ) E ; - axi_req_o[132] + NET axi_req_o[132] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1975820 ) E ; - axi_req_o[131] + NET axi_req_o[131] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1981140 ) E ; - axi_req_o[130] + NET axi_req_o[130] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1986740 ) E ; - axi_req_o[129] + NET axi_req_o[129] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1992060 ) E ; - axi_req_o[128] + NET axi_req_o[128] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 1997380 ) E ; - axi_req_o[127] + NET axi_req_o[127] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2002700 ) E ; - axi_req_o[126] + NET axi_req_o[126] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2008020 ) E ; - axi_req_o[125] + NET axi_req_o[125] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2013340 ) E ; - axi_req_o[124] + NET axi_req_o[124] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2018940 ) E ; - axi_req_o[123] + NET axi_req_o[123] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2024260 ) E ; - axi_req_o[122] + NET axi_req_o[122] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2029580 ) E ; - axi_req_o[121] + NET axi_req_o[121] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2034900 ) E ; - axi_req_o[120] + NET axi_req_o[120] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2040220 ) E ; - axi_req_o[119] + NET axi_req_o[119] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2045540 ) E ; - axi_req_o[118] + NET axi_req_o[118] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2050860 ) E ; - axi_req_o[117] + NET axi_req_o[117] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2056460 ) E ; - axi_req_o[116] + NET axi_req_o[116] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2061780 ) E ; - axi_req_o[115] + NET axi_req_o[115] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2067100 ) E ; - axi_req_o[114] + NET axi_req_o[114] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2072420 ) E ; - axi_req_o[113] + NET axi_req_o[113] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2077740 ) E ; - axi_req_o[112] + NET axi_req_o[112] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2083060 ) E ; - axi_req_o[111] + NET axi_req_o[111] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2088380 ) E ; - axi_req_o[110] + NET axi_req_o[110] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2093980 ) E ; - axi_req_o[109] + NET axi_req_o[109] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2099300 ) E ; - axi_req_o[108] + NET axi_req_o[108] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2104620 ) E ; - axi_req_o[107] + NET axi_req_o[107] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2109940 ) E ; - axi_req_o[106] + NET axi_req_o[106] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2115260 ) E ; - axi_req_o[105] + NET axi_req_o[105] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2120580 ) E ; - axi_req_o[104] + NET axi_req_o[104] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2125900 ) E ; - axi_req_o[103] + NET axi_req_o[103] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2131500 ) E ; - axi_req_o[102] + NET axi_req_o[102] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2136820 ) E ; - axi_req_o[101] + NET axi_req_o[101] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2142140 ) E ; - axi_req_o[100] + NET axi_req_o[100] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2147460 ) E ; - axi_req_o[99] + NET axi_req_o[99] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2152780 ) E ; - axi_req_o[98] + NET axi_req_o[98] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2158100 ) E ; - axi_req_o[97] + NET axi_req_o[97] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2163420 ) E ; - axi_req_o[96] + NET axi_req_o[96] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2169020 ) E ; - axi_req_o[95] + NET axi_req_o[95] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2174340 ) E ; - axi_req_o[94] + NET axi_req_o[94] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2179660 ) E ; - axi_req_o[93] + NET axi_req_o[93] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2184980 ) E ; - axi_req_o[92] + NET axi_req_o[92] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2190300 ) E ; - axi_req_o[91] + NET axi_req_o[91] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2195620 ) E ; - axi_req_o[90] + NET axi_req_o[90] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2200940 ) E ; - axi_req_o[89] + NET axi_req_o[89] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2206540 ) E ; - axi_req_o[88] + NET axi_req_o[88] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2211860 ) E ; - axi_req_o[87] + NET axi_req_o[87] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2217180 ) E ; - axi_req_o[86] + NET axi_req_o[86] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2222500 ) E ; - axi_req_o[85] + NET axi_req_o[85] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2227820 ) E ; - axi_req_o[84] + NET axi_req_o[84] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2233140 ) E ; - axi_req_o[83] + NET axi_req_o[83] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal3 ( -70 0 ) ( 70 140 ) + FIXED ( 0 2238740 ) E ; - axi_req_o[82] + NET axi_req_o[82] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 475020 ) E ; - axi_req_o[81] + NET axi_req_o[81] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 485660 ) E ; - axi_req_o[80] + NET axi_req_o[80] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 496300 ) E ; - axi_req_o[79] + NET axi_req_o[79] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 506940 ) E ; - axi_req_o[78] + NET axi_req_o[78] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 517580 ) E ; - axi_req_o[77] + NET axi_req_o[77] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 528780 ) E ; - axi_req_o[76] + NET axi_req_o[76] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 539420 ) E ; - axi_req_o[75] + NET axi_req_o[75] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 550060 ) E ; - axi_req_o[74] + NET axi_req_o[74] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 560700 ) E ; - axi_req_o[73] + NET axi_req_o[73] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 571340 ) E ; - axi_req_o[72] + NET axi_req_o[72] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 582540 ) E ; - axi_req_o[71] + NET axi_req_o[71] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 593180 ) E ; - axi_req_o[70] + NET axi_req_o[70] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 603820 ) E ; - axi_req_o[69] + NET axi_req_o[69] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 614460 ) E ; - axi_req_o[68] + NET axi_req_o[68] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 625100 ) E ; - axi_req_o[67] + NET axi_req_o[67] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 636300 ) E ; - axi_req_o[66] + NET axi_req_o[66] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 646940 ) E ; - axi_req_o[65] + NET axi_req_o[65] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 657580 ) E ; - axi_req_o[64] + NET axi_req_o[64] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 668220 ) E ; - axi_req_o[63] + NET axi_req_o[63] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 678860 ) E ; - axi_req_o[62] + NET axi_req_o[62] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 690060 ) E ; - axi_req_o[61] + NET axi_req_o[61] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 700700 ) E ; - axi_req_o[60] + NET axi_req_o[60] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 711340 ) E ; - axi_req_o[59] + NET axi_req_o[59] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 721980 ) E ; - axi_req_o[58] + NET axi_req_o[58] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 732620 ) E ; - axi_req_o[57] + NET axi_req_o[57] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 743820 ) E ; - axi_req_o[56] + NET axi_req_o[56] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 754460 ) E ; - axi_req_o[55] + NET axi_req_o[55] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 765100 ) E ; - axi_req_o[54] + NET axi_req_o[54] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 775740 ) E ; - axi_req_o[53] + NET axi_req_o[53] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 786380 ) E ; - axi_req_o[52] + NET axi_req_o[52] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 797580 ) E ; - axi_req_o[51] + NET axi_req_o[51] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 808220 ) E ; - axi_req_o[50] + NET axi_req_o[50] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 818860 ) E ; - axi_req_o[49] + NET axi_req_o[49] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 829500 ) E ; - axi_req_o[48] + NET axi_req_o[48] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 840140 ) E ; - axi_req_o[47] + NET axi_req_o[47] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 851340 ) E ; - axi_req_o[46] + NET axi_req_o[46] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 861980 ) E ; - axi_req_o[45] + NET axi_req_o[45] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 872620 ) E ; - axi_req_o[44] + NET axi_req_o[44] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 883260 ) E ; - axi_req_o[43] + NET axi_req_o[43] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 893900 ) E ; - axi_req_o[42] + NET axi_req_o[42] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 905100 ) E ; - axi_req_o[41] + NET axi_req_o[41] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 915740 ) E ; - axi_req_o[40] + NET axi_req_o[40] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 926380 ) E ; - axi_req_o[39] + NET axi_req_o[39] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 937020 ) E ; - axi_req_o[38] + NET axi_req_o[38] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 947660 ) E ; - axi_req_o[37] + NET axi_req_o[37] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 958860 ) E ; - axi_req_o[36] + NET axi_req_o[36] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 969500 ) E ; - axi_req_o[35] + NET axi_req_o[35] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 980140 ) E ; - axi_req_o[34] + NET axi_req_o[34] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 990780 ) E ; - axi_req_o[33] + NET axi_req_o[33] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1001420 ) E ; - axi_req_o[32] + NET axi_req_o[32] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1012620 ) E ; - axi_req_o[31] + NET axi_req_o[31] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1023260 ) E ; - axi_req_o[30] + NET axi_req_o[30] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1033900 ) E ; - axi_req_o[29] + NET axi_req_o[29] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1044540 ) E ; - axi_req_o[28] + NET axi_req_o[28] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1055180 ) E ; - axi_req_o[27] + NET axi_req_o[27] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1066380 ) E ; - axi_req_o[26] + NET axi_req_o[26] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1077020 ) E ; - axi_req_o[25] + NET axi_req_o[25] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1087660 ) E ; - axi_req_o[24] + NET axi_req_o[24] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1098300 ) E ; - axi_req_o[23] + NET axi_req_o[23] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1108940 ) E ; - axi_req_o[22] + NET axi_req_o[22] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1120140 ) E ; - axi_req_o[21] + NET axi_req_o[21] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1130780 ) E ; - axi_req_o[20] + NET axi_req_o[20] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1141420 ) E ; - axi_req_o[19] + NET axi_req_o[19] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1152060 ) E ; - axi_req_o[18] + NET axi_req_o[18] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1162700 ) E ; - axi_req_o[17] + NET axi_req_o[17] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1173900 ) E ; - axi_req_o[16] + NET axi_req_o[16] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1184540 ) E ; - axi_req_o[15] + NET axi_req_o[15] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1195180 ) E ; - axi_req_o[14] + NET axi_req_o[14] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1205820 ) E ; - axi_req_o[13] + NET axi_req_o[13] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1216460 ) E ; - axi_req_o[12] + NET axi_req_o[12] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1227660 ) E ; - axi_req_o[11] + NET axi_req_o[11] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1238300 ) E ; - axi_req_o[10] + NET axi_req_o[10] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1248940 ) E ; - axi_req_o[9] + NET axi_req_o[9] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1259580 ) E ; - axi_req_o[8] + NET axi_req_o[8] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1270220 ) E ; - axi_req_o[7] + NET axi_req_o[7] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1281420 ) E ; - axi_req_o[6] + NET axi_req_o[6] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1292060 ) E ; - axi_req_o[5] + NET axi_req_o[5] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1302700 ) E ; - axi_req_o[4] + NET axi_req_o[4] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1313340 ) E ; - axi_req_o[3] + NET axi_req_o[3] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1323980 ) E ; - axi_req_o[2] + NET axi_req_o[2] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1335180 ) E ; - axi_req_o[1] + NET axi_req_o[1] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1345820 ) E ; - axi_req_o[0] + NET axi_req_o[0] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1356460 ) E ; - axi_resp_i[81] + NET axi_resp_i[81] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1367100 ) E ; - axi_resp_i[80] + NET axi_resp_i[80] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1377740 ) E ; - axi_resp_i[79] + NET axi_resp_i[79] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1388940 ) E ; - axi_resp_i[78] + NET axi_resp_i[78] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1399580 ) E ; - axi_resp_i[77] + NET axi_resp_i[77] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1410220 ) E ; - axi_resp_i[76] + NET axi_resp_i[76] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1420860 ) E ; - axi_resp_i[75] + NET axi_resp_i[75] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1431500 ) E ; - axi_resp_i[74] + NET axi_resp_i[74] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1442700 ) E ; - axi_resp_i[73] + NET axi_resp_i[73] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1453340 ) E ; - axi_resp_i[72] + NET axi_resp_i[72] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1463980 ) E ; - axi_resp_i[71] + NET axi_resp_i[71] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1474620 ) E ; - axi_resp_i[70] + NET axi_resp_i[70] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1485260 ) E ; - axi_resp_i[69] + NET axi_resp_i[69] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1496460 ) E ; - axi_resp_i[68] + NET axi_resp_i[68] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1507100 ) E ; - axi_resp_i[67] + NET axi_resp_i[67] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1517740 ) E ; - axi_resp_i[66] + NET axi_resp_i[66] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1528380 ) E ; - axi_resp_i[65] + NET axi_resp_i[65] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1539020 ) E ; - axi_resp_i[64] + NET axi_resp_i[64] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1550220 ) E ; - axi_resp_i[63] + NET axi_resp_i[63] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1560860 ) E ; - axi_resp_i[62] + NET axi_resp_i[62] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1571500 ) E ; - axi_resp_i[61] + NET axi_resp_i[61] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1582140 ) E ; - axi_resp_i[60] + NET axi_resp_i[60] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1592780 ) E ; - axi_resp_i[59] + NET axi_resp_i[59] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1603980 ) E ; - axi_resp_i[58] + NET axi_resp_i[58] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1614620 ) E ; - axi_resp_i[57] + NET axi_resp_i[57] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1625260 ) E ; - axi_resp_i[56] + NET axi_resp_i[56] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1635900 ) E ; - axi_resp_i[55] + NET axi_resp_i[55] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1646540 ) E ; - axi_resp_i[54] + NET axi_resp_i[54] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1657740 ) E ; - axi_resp_i[53] + NET axi_resp_i[53] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1668380 ) E ; - axi_resp_i[52] + NET axi_resp_i[52] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1679020 ) E ; - axi_resp_i[51] + NET axi_resp_i[51] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1689660 ) E ; - axi_resp_i[50] + NET axi_resp_i[50] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1700300 ) E ; - axi_resp_i[49] + NET axi_resp_i[49] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1711500 ) E ; - axi_resp_i[48] + NET axi_resp_i[48] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1722140 ) E ; - axi_resp_i[47] + NET axi_resp_i[47] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1732780 ) E ; - axi_resp_i[46] + NET axi_resp_i[46] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1743420 ) E ; - axi_resp_i[45] + NET axi_resp_i[45] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1754060 ) E ; - axi_resp_i[44] + NET axi_resp_i[44] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1765260 ) E ; - axi_resp_i[43] + NET axi_resp_i[43] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1775900 ) E ; - axi_resp_i[42] + NET axi_resp_i[42] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1786540 ) E ; - axi_resp_i[41] + NET axi_resp_i[41] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1797180 ) E ; - axi_resp_i[40] + NET axi_resp_i[40] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1807820 ) E ; - axi_resp_i[39] + NET axi_resp_i[39] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1819020 ) E ; - axi_resp_i[38] + NET axi_resp_i[38] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1829660 ) E ; - axi_resp_i[37] + NET axi_resp_i[37] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1840300 ) E ; - axi_resp_i[36] + NET axi_resp_i[36] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1850940 ) E ; - axi_resp_i[35] + NET axi_resp_i[35] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1861580 ) E ; - axi_resp_i[34] + NET axi_resp_i[34] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1872780 ) E ; - axi_resp_i[33] + NET axi_resp_i[33] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1883420 ) E ; - axi_resp_i[32] + NET axi_resp_i[32] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1894060 ) E ; - axi_resp_i[31] + NET axi_resp_i[31] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1904700 ) E ; - axi_resp_i[30] + NET axi_resp_i[30] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1915340 ) E ; - axi_resp_i[29] + NET axi_resp_i[29] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1926540 ) E ; - axi_resp_i[28] + NET axi_resp_i[28] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1937180 ) E ; - axi_resp_i[27] + NET axi_resp_i[27] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1947820 ) E ; - axi_resp_i[26] + NET axi_resp_i[26] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1958460 ) E ; - axi_resp_i[25] + NET axi_resp_i[25] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1969100 ) E ; - axi_resp_i[24] + NET axi_resp_i[24] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1980300 ) E ; - axi_resp_i[23] + NET axi_resp_i[23] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 1990940 ) E ; - axi_resp_i[22] + NET axi_resp_i[22] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2001580 ) E ; - axi_resp_i[21] + NET axi_resp_i[21] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2012220 ) E ; - axi_resp_i[20] + NET axi_resp_i[20] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2022860 ) E ; - axi_resp_i[19] + NET axi_resp_i[19] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2034060 ) E ; - axi_resp_i[18] + NET axi_resp_i[18] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2044700 ) E ; - axi_resp_i[17] + NET axi_resp_i[17] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2055340 ) E ; - axi_resp_i[16] + NET axi_resp_i[16] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2065980 ) E ; - axi_resp_i[15] + NET axi_resp_i[15] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2076620 ) E ; - axi_resp_i[14] + NET axi_resp_i[14] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2087820 ) E ; - axi_resp_i[13] + NET axi_resp_i[13] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2098460 ) E ; - axi_resp_i[12] + NET axi_resp_i[12] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2109100 ) E ; - axi_resp_i[11] + NET axi_resp_i[11] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2119740 ) E ; - axi_resp_i[10] + NET axi_resp_i[10] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2130380 ) E ; - axi_resp_i[9] + NET axi_resp_i[9] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2141580 ) E ; - axi_resp_i[8] + NET axi_resp_i[8] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2152220 ) E ; - axi_resp_i[7] + NET axi_resp_i[7] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2162860 ) E ; - axi_resp_i[6] + NET axi_resp_i[6] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2173500 ) E ; - axi_resp_i[5] + NET axi_resp_i[5] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2184140 ) E ; - axi_resp_i[4] + NET axi_resp_i[4] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2195340 ) E ; - axi_resp_i[3] + NET axi_resp_i[3] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2205980 ) E ; - axi_resp_i[2] + NET axi_resp_i[2] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2216620 ) E ; - axi_resp_i[1] + NET axi_resp_i[1] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2227260 ) E ; - axi_resp_i[0] + NET axi_resp_i[0] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 2238460 ) E ; END PINS SPECIALNETS 2 ; - VDD ( * VDD ) + USE POWER ; - VSS ( * VSS ) + USE GROUND ; END SPECIALNETS END DESIGN