MacroPlacement

Macro Placement Generated Using Concurrent Macro Placer (CMP)

The following table and screenshots provide details of BlackParrot (Quad-Core) NG45-68%-(bp clock)1.3ns design, when the macro placement is generated using CMP.

BlackParrot (Quad-Core)-NG45-68%-1.3ns CMP
Physical Design Stage Core Area (um^2) Standard Cell Area (um^2) Macro Area (um^2) Total Power (mW) Wirelength (um) WS (ns) TNS (ns) Congestion (H) Congestion (V)
postSynth 8449457 1904443 3917822 3783.599 22806319 -0.371 -4936.000
preCTS 8449457 1905223 3917822 4067.750 22267026 -0.194 -604.759 0.02% 0.05%
postCTS 8449457 1915688 3917822 4431.875 22409471 -0.137 -313.557 0.03% 0.06%
postRoute 8449457 1915688 3917822 4428.464 23120107 -0.170 -541.650
postRouteOpt 8449457 1916166 3917822 4428.664 23144317 -0.144 -356.201

Macro Placement Generated Using Circuit Training (CT)

The following table and screenshots provide details of BlackParrot (Quad-Core) NG45-68%-(bp clock)1.3ns design, when the macro placement is generated using CT.

BlackParrot (Quad-Core)-NG45-68%-1.3ns CT
Physical Design Stage Core Area (um^2) Standard Cell Area (um^2) Macro Area (um^2) Total Power (mW) Wirelength (um) WS (ns) TNS (ns) Congestion (H) Congestion (V)
preCTS 8449457 1938948 3917822 4278.370 35668650 -0.237 -1260.100 0.18% 0.34%
postCTS 8449457 1954471 3917822 4657.913 35769745 -0.151 -580.246 0.18% 0.37%
postRoute 8449457 1954471 3917822 4624.574 36804993 -0.179 -1710.700
postRouteOpt 8449457 1956712 3917822 4627.387 36845404 -0.185 -1040.800